![]() |
|
If you can't view the Datasheet, Please click here to try to view without PDF Reader . |
|
Datasheet File OCR Text: |
101 innovation drive san jose, ca 95134 www.altera.com aiigx5v1-4.6 volume 1: device interfaces and integration arria ii device handbook document last updated for altera complete design suite version: document publication date: 13.1 feb ruary 2014 arria ii device handbook volume 1: device interfaces and integration
arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation ? 201 4 alt er a co r p o r a ti o n . a l l rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & t m . o f f. an d / o r trade m a r k s of altera cor p o ra ti o n i n the u . s. a n d o th er c o u n tr ie s. a l l o th er trad em a r k s an d service marks are th e property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s stand ard warranty, but reserves the right to make changes to any products and servi ces at any time wit hout notice . altera assumes no responsibility or l i abi lity arising out of the application or use of any information, product, or service described herein except as expressly ag reed to in writing by altera. altera customers are advised to obtain the latest version of device specifications before relying on any published information and bef ore placing o rders fo r products or services. february 2014 altera co rporation arria ii device handbook volume 1: device interfaces and integration contents chapter revision dates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xi section i. device core for arria ii devices revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?1 chapter 1. overview for the arria ii device family arria ii device feature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?1 arria ii device architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?6 high-speed transceiver features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?7 pcie hard ip block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?9 logic array block and adaptive logic modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?9 embedded memory blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?9 dsp resources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?10 i/o features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?10 high-speed lvds i/o and dpa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?11 clock management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?11 auto-calibrating external memory interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?12 nios ii . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?12 configuration features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?12 seu mitigation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?13 jtag boundary scan testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?13 reference and ordering information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?14 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?14 chapter 2. logic array blocks and adaptive logic modules in arria ii devices logic array blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?1 lab interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?3 lab control signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?4 adaptive logic modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?5 alm operating modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?7 normal mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?8 extended lut mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?10 arithmetic mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?11 shared arithmetic mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?13 lut-register mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?15 register chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?16 alm interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?17 clear and preset logic control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?17 lab power management techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?17 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?18 chapter 3. memory blocks in arria ii devices memory features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?2 memory block types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?3 parity bit support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?3 byte enable support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?3 packed mode support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?5 address clock enable support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?5 iv contents arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation mixed width support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?8 asynchronous clear . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?8 error correction code support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?8 memory modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?10 single-port ram mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?10 simple dual-port mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?12 true dual-port mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?15 shift-register mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?17 rom mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?18 fifo mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?18 clocking modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?19 independent clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?19 input and output clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?19 read and write clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?19 single clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?20 design considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?20 selecting memory block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?20 conflict resolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?20 read-during-write behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?21 same-port read-during-write mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?21 mixed-port read-during-write mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?23 power-up conditions and memory initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?26 power management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?26 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?27 chapter 4. dsp blocks in arria ii devices dsp block overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?2 simplified dsp operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?4 operational modes overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?7 dsp block resource descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?8 input registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?9 multiplier and first-stage adder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?11 pipeline register stage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?12 second-stage adder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?12 rounding and saturation stage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?12 second adder and output registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?13 arria ii operational mode descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?14 independent multiplier modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?14 9-bit, 12-bit, and 18-bit multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?14 36-bit multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?17 double multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?17 two-multiplier adder sum mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?20 18 18 complex multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?22 four-multiplier adder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?23 high-precision multiplier adder mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?24 multiply accumulate mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?25 shift modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?26 rounding and saturation mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?28 dsp block control signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?30 software support for arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?31 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?32 chapter 5. clock networks and plls in arria ii devices contents v february 2014 altera co rporation arria ii device handbook volume 1: device interfaces and integration clock networks in arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?1 global clock networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?3 regional clock networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?4 periphery clock networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?6 clock sources per quadrant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?8 clock regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?9 clock network sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?11 dedicated clock inputs pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?11 logic array blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?11 pll clock outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?11 clock input connections to plls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?13 clock output connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?14 clock control block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?15 clock enable signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?18 clock source control for plls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?19 cascading plls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?21 plls in arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?21 pll hardware overview in arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?23 pll clock i/o pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?23 pll control signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?27 pfdena . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?27 areset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?27 locked . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?27 clock feedback modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?28 source-synchronous mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?29 source-synchronous mode for lvds compensation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?30 no-compensation mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?30 normal mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?31 zero-delay buffer mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?32 external feedback mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?33 clock multiplication and division . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?34 post-scale counter cascading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?35 programmable duty cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?36 programmable phase shift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?36 programmable bandwidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?38 spread-spectrum tracking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?38 clock switchover . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?38 automatic clock switchover mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?39 manual clock switchover mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?42 clock switchover guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?42 pll reconfiguration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?43 pll reconfiguration hardware implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?44 post-scale counters (c0 to c9) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?46 scan chain description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?47 charge pump and loop filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?50 bypassing pll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?51 dynamic phase-shifting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?51 pll specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?54 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?54 section ii. i/o interfaces for arria ii devices revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?1 vi contents arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation chapter 6. i/o features in arria ii devices i/o standards support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?2 i/o banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?5 modular i/o banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?7 i/o structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?10 3.3-v i/o interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?13 external memory interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?13 high-speed differential i/o with dpa support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?14 programmable current strength . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?14 programmable slew rate control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?16 open-drain output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?16 bus hold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?17 programmable pull-up resistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?17 programmable pre-emphasis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?17 programmable differential output voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?17 multivolt i/o interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?18 oct support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?19 r s oct without calibration for arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?1 9 r s oct with calibration for arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?20 left-shift r s oct control for arria ii gz devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?21 expanded r s oct with calibration for arria ii gz devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?22 r d oct for arria ii lvds input i/o standard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?23 r t oct with calibration for arria ii gz devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?23 dynamic r s and r t oct for single-ended i/o standard for arria ii gz devices . . . . . . . . . . 6?24 arria ii oct calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?26 oct calibration block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?26 termination schemes for i/o standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?28 single-ended i/o standards termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?28 differential i/o standards termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?30 lvds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?32 differential lvpecl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?33 rsds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?33 mini-lvds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?34 design considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?35 i/o termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?35 single-ended i/o standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?35 differential i/o standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?35 i/o bank restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?36 non-voltage-referenced standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?36 voltage-referenced standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?36 mixing voltage-referenced and non-voltage-referenced standards . . . . . . . . . . . . . . . . . . . . . 6?36 i/o placement guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?37 3.3-v, 3.0-v, and 2.5-v lvttl/lvcmos tolerance guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . 6?37 pin placement guideline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?37 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?37 chapter 7. external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?3 using the r up and r dn pins in a dq/dqs group used for memory interfaces in arria ii gz devices 7?21 combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface . . . . . . . . . . . . . . . 7?21 rules to combine groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?22 arria ii external memory interface features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?24 contents vii february 2014 altera co rporation arria ii device handbook volume 1: device interfaces and integration dqs phase-shift circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?24 dll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?27 phase offset control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?32 dqs logic block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?34 dqs delay chains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?34 update enable circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?35 dqs postamble circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?35 arria ii gz dynamic on-chip termination control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?37 i/o element registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?37 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?42 chapter 8. high-speed differential i/o interfaces and dpa in arria ii devices lvds channels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?2 locations of the i/o banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?3 lvds serdes and dpa block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?7 differential transmitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?8 serializer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?8 programmable pre-emphasis and programmable v od . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?10 differential receiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?11 receiver hardware blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?12 dpa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?12 synchronizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?13 data realignment block (bit slip) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?14 deserializer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?15 receiver datapath modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?16 non-dpa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?16 dpa mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?18 soft cdr mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?19 differential i/o termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?20 plls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?21 lvds and dpa clock networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?21 source-synchronous timing budget . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?23 differential data orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?23 differential i/o bit position . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?23 transmitter channel-to-channel skew . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?25 receiver skew margin for non-dpa mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?25 differential pin placement guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?27 dpa-enabled channels and single-ended i/os . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?27 guidelines for dpa-enabled differential channels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?27 dpa-enabled channel driving distance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?27 using center and corner left and right plls in arria ii gx devices . . . . . . . . . . . . . . . . . . . . . 8?27 using both center plls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?29 using both corner plls in arria ii gx devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 ?31 guidelines for dpa-disabled differential channels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?33 dpa-disabled channel driving distance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?33 using corner and center plls in arria ii gx devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?33 using both center plls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?35 using both corner plls in arria ii gx devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 ?36 setting up an lvds transmitter or receiver channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?36 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?36 section iii. system integration for arria ii devices revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?1 viii contents arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation chapter 9. configuration, design security, and remote system upgrades in arria ii devices configuration devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?2 configuration features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?2 power-on reset circuit and configuration pins power supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?4 power-on reset circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?4 configuration pins power supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?5 v ccpd pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?6 configuration process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?7 power up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?7 reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?7 configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?7 configuration error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?8 initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?8 user mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?9 configuration schemes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?9 msel pin settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?9 raw binary file size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?11 fast passive parallel configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?11 fpp configuration using a max ii device as an external host . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?11 fpp configuration timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?15 as and fast as configuration (serial configuration devices) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9? 19 guidelines for connecting serial configuration device to arria ii devices on an as interface . . 9?23 estimating the as configuration time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?23 programming serial configuration devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?24 ps configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?26 ps configuration using a max ii device as an external host . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?26 ps configuration timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?29 ps configuration using a download cable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?30 jtag configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?33 jam stapl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?38 device configuration pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?39 configuration data decompression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?46 remote system upgrades . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?48 functional description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?49 enabling remote update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?51 configuration image types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?52 remote system upgrade mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?52 remote update mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?52 dedicated remote system upgrade circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?55 remote system upgrade registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?56 remote system upgrade control register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?56 remote system upgrade status register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?57 remote system upgrade state machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?58 user watchdog timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?59 quartus ii software support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?60 altremote_update megafunction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?60 design security . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?61 arria ii security protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?62 security against copying . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?62 security against reverse engineering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?62 security against tampering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?62 aes decryption block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?62 flexible security key storage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?63 arria ii design security solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?64 contents ix february 2014 altera co rporation arria ii device handbook volume 1: device interfaces and integration security modes available . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?65 volatile key . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?65 non-volatile key . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?65 volatile key with tamper protection bit set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?65 non-volatile key with tamper protection bit set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9? 65 no key operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?66 supported configuration schemes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?66 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?69 chapter 10. seu mitigation in arria ii devices error detection fundamentals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?1 configuration error detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?2 user mode error detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?2 automated single event upset detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?4 error detection pin description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?5 error detection block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?5 error detection registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?6 error detection timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?7 software support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?9 recovering from crc errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?10 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?10 chapter 11. jtag boundary-scan testing in arria ii devices bst architecture for arria ii devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?1 ieee std. 1149.6 boundary-scan register for arria ii gx devices . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?1 bst operation control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?3 extest_pulse instruction mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?4 extest_train instruction mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?5 i/o voltage support in a jtag chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?5 disabling ieee std. 1149.1 bst circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?6 boundary-scan description language support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?7 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?8 chapter 12. power management in arria ii devices external power supply requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?1 power-on reset circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?1 hot socketing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?2 devices can be driven before power-up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?2 i/o pins remain tri-stated during power-up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?2 insertion or removal of an arria ii device from a powered-up system . . . . . . . . . . . . . . . . . . . . . . 12?3 hot-socketing feature implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?3 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?4 additional information about this handbook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . info?1 how to contact altera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . info?1 typographic conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . info?1 x contents arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation february 2014 altera co rporation arria ii device handbook volume 1: device interfaces and integration chapter revision dates the chapters in this document, arria ii device handbook volume 1: device interfaces and integration, were revised on the following dates. where chapters or groups of chapters are available separately, part numbers are listed. chapter 1. overview for the arria ii device family revised: july 2012 part number: aiigx51001-4.4 chapter 2. logic array blocks and adaptive logic modules in arria ii devices revised: december 2010 part number: aiigx51002-2.0 chapter 3. memory blocks in arria ii devices revised: december 2011 part number: aiigx51003-3.2 chapter 4. dsp blocks in arria ii devices revised: december 2010 part number: aiigx51004-4.0 chapter 5. clock networks and plls in arria ii devices revised: july 2012 part number: aiigx51005-4.2 chapter 6. i/o features in arria ii devices revised: december 2011 part number: aiigx51006-4.2 chapter 7. external memory interfaces in arria ii devices revised: june 2011 part number: aiigx51007-4.1 chapter 8. high-speed differential i/o interfaces and dpa in arria ii devices revised: july 2012 part number: aiigx51008-4.3 chapter 9. configuration, design security, and remote system upgrades in arria ii devices revised: july 2012 part number: aiigx51009-4.3 chapter 10. seu mitigation in arria ii devices revised: february 2014 part number: aiigx51010-4 .3 chapter 11. jtag boundary-scan testing in arria ii devices revised: december 2013 part number: aiigx51011-4.1 chapter 12. power management in arria ii devices xii chapter revision dates arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation revised: june 2011 part number: aiigx51012-3.1 december 2013 altera corporation arria ii device handbook volume 1: device interfaces and integration section i. device core for arria ii devices this section provides a complete overview of all features relating to the arria ? ii device family, the industry?s first cost-optimized 40 nm fpga family. this section includes the following chapters: i?2 section i: device core for arria ii devices revision history arria ii device handbook volume 1: device interfaces and integration december 2013 altera corporation arria ii device handbook volume 1: device interfaces and integration july 2012 aiigx51001-4.4 subscribe ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 1. overview for the arria ii device family the arria ? ii device family is designed specifically for ease-of-use. the cost-optimized, 40-nm device family architecture features a low-power, programmable logic engine and streamlined transceivers and i/os. common interfaces, such as the physical interface for pci express ? (pcie ? ), ethernet, and ddr3 memory are easily implemented in your design with the quartus ? ii software, the sopc builder design software, and a broad library of hard and soft intellectual property (ip) solutions from altera. the arria ii device family makes designing for applications requiring transceivers operating at up to 6.375 gbps fast and easy. this chapter contains the following sections: july 2012 aiigx51001-4.4 1?2 chapter 1: overview for the arria ii device family arria ii device feature arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation chapter 1: overview for the arria ii device family 1?3 arria ii device feature july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration table 1?1 lists the arria ii device features. table 1?1. features in arria ii devices feature arria ii gx devices arria ii gz devices ep2agx45 ep2agx65 ep2agx95 ep2 agx125 ep2agx190 ep2agx260 ep2agz225 ep2agz300 ep2agz350 total transceivers (1) 8 8 12 12 16 16 16 or 24 16 or 24 16 or 24 alms 18,050 25,300 37,470 49,640 76,120 102,600 89,600 119,200 139,400 les 42,959 60,214 89,178 118,143 181,165 244,188 224,000 298,000 348,500 pcie hard ip blocks 1 1 1 1 1 1 1 1 1 m9k blocks 319 495 612 730 840 950 1,235 1,248 1,248 m144k blocks ? ? ? ? ? ? ? 24 36 total embedded memory in m9k blocks (kbits) 2,871 4,455 5,508 6,570 7,560 8,550 11,115 14,688 16,416 total on-chip memory (m9k +m144k + mlabs) (kbits) 3,435 5,246 6,679 8,121 9,939 11,756 13,915 18,413 20,772 embedded multipliers (18 x 18) (2) 232 312 448 576 656 736 800 920 1,040 general purpose plls 4 4 6 6 6 6 6 or 8 4, 6, or 8 4, 6, or 8 transceiver tx plls (3) , (4) 2 or 4 2 or 4 4 or 6 4 or 6 6 or 8 6 or 8 8 or 12 8 or 12 8 or 12 user i/o banks (5) , (6) 6 6 8 8 12 12 16 or 20 8, 16, or 20 8, 16, or 20 high-speed lvds serdes (up to 1.25 gbps) (7) 8, 24, or 28 8, 24, or 28 24, 28, or 32 24, 28, 32 28 or 48 24 or 48 42 or 86 0 (8) , 42, or 86 0 (8) , 42, or 86 notes to table 1?1 : (1) the total number of transceivers is divided equally between the left and right side of each device, except for the devices i n the f780 package. these devices have eight transceiver channels located only on the right side of the device. (2) this is in four multiplier adder mode. (3) the fpga fabric can use these phase locked-loops (plls) if they are not used by the transceiver. (4) the number of plls depends on the package. transceiver transmitter (tx) pll count = (number of transceiver blocks) 2. (5) banks 3c and 8c are dedicated configuration banks and do not have user i/o pins. (6) for arria ii gz devices, the user i/os count from pin-out files includes all general purpose i/o, dedicated clock pins, and du al purpose configuration pins. transceiver pins and dedicated configuration pins are not included in the pin count. (7) for arria ii gz devices, total pairs of high-speed lvds serdes take the lowest channel count of rx/tx. for more information, r efer to the high-speed i/o interfaces and dpa in arria ii devices chapter. (8) the smallest pin package (780-pin package) does not support high-speed lvds serdes. 1?4 chapter 1: overview for the arria ii device family arria ii device feature arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 1 ?2 and ta b l e 1? 3 list the arria ii device package options and user i/o pin counts, high-speed lvds channel counts, and transceiver channel counts for ultra fineline bga (ubga) and fineline bga (fbga) devices. table 1?2. package options and i/o information for arria ii gx devices (note 1) , (2) , (3), (4), (5), (6), (7) device 358-pin flip chip ubga 17 mm x 17 mm 572-pin flip chip fbga 25 mm x 25 mm 780-pin flip chip fbga 29 mm x 29 mm 1152-pin flip chip fbga 35 mm x 35 mm i/o lvds (8) xcvrs i/o lvds (8) xcvrs i/o lvds (8) xcvrs i/o lvds (8) xcvrs ep2agx45 156 33(r d or etx) + 32(rx, tx, or etx) 4 252 57(r d or etx) + 56(rx, tx, or etx) 8 364 85(r d or etx) + 84(rx, tx, or etx) 8? ? ? ep2agx65 156 33(r d or etx) + 32(rx, tx, or etx) 4 252 57(r d or etx) + 56(rx, tx, or etx) 8 364 85(r d or etx) +84(rx,tx, etx) 8? ? ? ep2agx95 ? ? ? 260 57(r d or etx) + 56(rx, tx, or etx) 8 372 85(r d or etx) +84(rx, tx, or etx) 12 452 105(r d or etx) + 104(rx, tx, or etx) 12 EP2AGX125 ? ? ? 260 57(r d or etx) + 56(rx,tx, or etx) 8 372 85(r d or etx) +84(rx,tx, or etx) 12 452 105(r d or etx) + 104(rx, tx, or etx) 12 ep2agx190 ? ? ? ? ? ? 372 85(r d or etx) +84(rx, tx, or etx) 12 612 145(r d or etx) + 144(rx, tx, or etx) 16 ep2agx260 ? ? ? ? ? ? 372 85(r d , etx) +84(rx, tx, or etx) 12 612 145(r d , etx) + 144(rx, tx, or etx) 16 notes to table 1?2 : (1) the user i/o counts include clock pins. (2) the arrows indicate packages vertical migration capability. vertical migration allows you to migrate to devices whose dedica ted pins, configuration pins, and power pins are the same for a given package across device densities. (3) r d = true lvds input buffers with on-chip differential termination (r d oct) support. (4) rx = true lvds input buffers without r d oct support. (5) tx = true lvds output buffers. (6) etx = emulated-lvds output buffers, either lvds_e_3r or lvds_e_1r . (7) the lvds channel count does not include dedicated clock input pins and pll clock output pins. (8) these numbers represent the accumulated lvds channels supported in arria ii gx row and column i/o banks. chapter 1: overview for the arria ii device family 1?5 arria ii device feature july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration arria ii devices are available in up to four speed grades: ?3 (fastest), ?4, ?5, and ?6 (slowest). ta b l e 1 ?4 lists the speed grades for arria ii devices. table 1?3. package options and i/o information for arria ii gz devices (note 1) , (2) , (3) , (4) , (5) device 780-pin flip chip fbga 29 mm x 29 mm 1152-pin flip chip fbga 35 mm x 35 mm 1517-pin flip chip fbga 40 mm x 40 mm i/o lvds (6) xcvrs i/o lvds (7) xcvrs i/o lvds (7) xcvrs ep2agz225 ? ? ? 554 135 (rx or etx) + 140 (tx or etx) 16 734 179 (rx or etx) + 184 (tx or etx) 24 ep2agz300 281 68 (rx or etx) + 72 etx 16 554 135 (rx or etx) + 140 (tx or etx) 16 734 179 (rx or etx) + 184 (tx or etx) 24 ep2agz350 281 68 (rx or etx) + 72 etx 16 554 135 (rx or etx) + 140 (tx or etx) 16 734 179 (rx or etx) + 184 (tx or etx) 24 notes to table 1?3 : (1) the user i/o counts include clock pins. (2) rx = true lvds input buffers without r d oct support for row i/o banks, or true lvds input buffers without r d oct support for column i/o banks. (3) etx = emulated-lvds output buffers, either lvds_e_3r or lvds_e_1r. (4) the lvds rx and tx channels are equally divided between the left and right sides of the device. (5) the lvds channel count does not include dedicated clock input pins. (6) for arria ii gz 780-pin fbga package, the lvds channels are only supported in column i/o banks. (7) these numbers represents the accumulated lvds channels supported in arria ii gz device row and column i/o banks. table 1?4. speed grades for arria ii devices device 358-pin flip chip ubga 572-pin flip chip fbga 780-pin flip chip fbga 1152-pin flip chip fbga 1517-pin flip chip fbga ep2agx45 c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 ? ? ep2agx65 c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 ? ? ep2agx95 ? c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 ? EP2AGX125 ? c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 ? ep2agx190 ? ? c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 ? ep2agx260 ? ? c4, c5, c6, i3, i5 c4, c5, c6, i3, i5 ? ep2agz225 ? ? ? c3, c4, i3, i4 c3, c4, i3, i4 ep2agz300 ? ? c3, c4, i3, i4 c3, c4, i3, i4 c3, c4, i3, i4 ep2agz350 ? ? c3, c4, i3, i4 c3, c4, i3, i4 c3, c4, i3, i4 1?6 chapter 1: overview for the arria ii device family arria ii device architecture arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation arria ii device architecture arria ii devices include a customer-defined feature set optimized for cost-sensitive applications and offer a wide range of density, memory, embedded multiplier, i/o, and packaging options. arria ii devices support external memory interfaces and i/o protocols required by wireless, wireline, broadcast, computer, storage, and military markets. they inherit the 8-input alm, m9k and m144k embedded ram block, and high-performance dsp blocks from the stratix ? iv device family with a cost-optimized i/o cell and a transceiver optimized for 6.375 gbps speeds. figure 1?1 and figure 1?2 show an overview of the arria ii gx and arria ii gz device architecture, respectively. figure 1?1. architecture overview for arria ii gx devices arria ii gx fpga fabric (logic elements, dsp, embedded memory, clock networks) all the blocks in this graphic are for the largest density in the arria ii gx family. the number of blocks can vary based on the density of the device. pll pll pll pll dll dll pll pll transceiver blocks plug and play pcie hard ip 1, 2, 4, and 8 high-speed differential i/o, general purpose i/o, and memory interface high-speed differential i/o, general purpose i/o, and memory interface high-speed differential i/o, general purpose i/o, and memory interface high-speed differential i/o with dpa, general purpose i/o, and memory interface high-speed differential i/o with dpa, general purpose i/o, and memory interface high-speed differential i/o, general purpose i/o, and memory interface chapter 1: overview for the arria ii device family 1?7 arria ii device architecture july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration high-speed transceiver features arria ii gx devices integrate up to 16 transceivers and arria ii gz devices up to 24 transceivers on a single device. the transceiver block is optimized for cost and power consumption. arria ii transceivers support the following features: notes to figure 1?2 : (1) not available for 780-pin fbga package. (2) not available for 780-pin and 1152-pin fbga packages. (3) the pcie hard ip block is located on the left side of the device only (iobank_ql). general purpose i/o and memory interface 400 mbps-6.375 gbps cdr-based transceiver general purpose i/o and 150 mbps-1.25 gbps lvds interface with dpa and soft-cdr transceiver block transceiver block transceiver block pcie hard ip block (3) general purpose i/o and memory interface pll (2) pll (1) pll pll general purpose i/o and memory interface general purpose i/o and memory interface pll pll arria ii gz fpga fabric (logic elements, dsp, embedded memory, clock networks) transceiver block general purpose i/o and high-speed lvds i/o with dpa and soft cdr general purpose i/o and high-speed lvds i/o with dpa and soft cdr pll (2) pll (1) transceiver block transceiver block transceiver block general purpose i/o and high-speed lvds i/o with dpa and soft cdr general purpose i/o and high-speed lvds i/o with dpa and soft cdr general purpose i/o and high-speed lvds i/o with dpa and soft cdr 1?8 chapter 1: overview for the arria ii device family arria ii device architecture arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation 1 for other protocols supported by arria ii devices, such as sonet/sdh, sdi, sata and srio, refer to the transceiver architecture in arria ii devices chapter. table 1?5. sample of supported protocols and feature descriptions for arria ii devices supported protocols feature descriptions pcie chapter 1: overview for the arria ii device family 1?9 arria ii device architecture july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 pcie gen2 protocol is only available in arria ii gz devices. the following sections provide an overview of the various features of the arria ii fpga. pcie hard ip block every arria ii device includes an integrated hard ip block which implements pcie phy/mac, data link, and transaction layers. this pcie hard ip block is highly configurable to meet the requirements of the majority of pcie applications. pcie hard ip makes implementing pcie gen1 and pcie gen2 solution in your arria ii design simple and easy. you can instantiate pcie hard ip block using the pci compiler megawizard tm plug-in manager, similar to soft ip functions, but does not consume core fpga resources or require placement, routing, and timing analysis to ensure correct operation of the core. ta b l e 1 ?6 lists the pcie hard ip block support for arria ii gx and gz devices. logic array block and adaptive logic modules 1?10 chapter 1: overview for the arria ii device family arria ii device architecture arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation (1) , and rsds note to table 1?8 : (1) blvds is only available for arria ii gx devices. chapter 1: overview for the arria ii device family 1?11 arria ii device architecture july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration vccio , vref , and vccpd pin per i/o bank to allow voltage-referenced i/o standards. each i/o bank can operate at independent v ccio , v ref , and v ccpd levels. high-speed lvds i/o and dpa 1?12 chapter 1: overview for the arria ii device family arria ii device architecture arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation auto-calibrating external memory interfaces f for the maximum clock rates supported in altera's fpga devices, refer to the external memory interface spec estimator online tool. f for more information about the external memory interfaces support, refer to the external memory interfaces in arria ii devices chapter. nios ii chapter 1: overview for the arria ii device family 1?13 arria ii device architecture july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration 1?14 chapter 1: overview for the arria ii device family reference and ordering information arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation reference and ordering information figure 1?3 shows the ordering codes for arria ii devices. document revision history ta b l e 1 ?1 0 lists the revision history for this chapter. figure 1?3. packaging ordering information for arria ii devices device density packa g etyp e 3, 4, 5, or 6, w ith 3 b eing the fastest corresponds to pin count 17 = 358 pins 25 = 572 pins 29 = 780 pins 35 = 1152 pins 40 = 1517 pins f: fineline bga (fbga) u: ultra fineline bga (ubga) h: hy b rid fineline bga (hbga) gx: 45, 65, 95, 125, 190,260 gz: 225, 300, 350 optional suffix fam i l y s i g n a t u r e operating temperature sp e e d gr ad e ball array dimension 4 ep2agx 45 c 17 f n indicates specific device options n: lead-free devices es: engineering sample ep2agx ep2agz c transceiver count c: 4 d: 8 e: 12 f:16 h: 24 c: commercial temperature (t j = 0c to 85c) i: industrial temperature (t j = -40c to 100c) table 1?10. document revision history (part 1 of 2) date version changes july 2012 4.4 replaced table 1-10. external memory interface maximum performance for arria ii devices with link to the external memory interface spec estimator online tool. december 2011 4.3 updated table 1?4 and table 1?9. june 2011 4.2 updated table 1?2. june 2011 4.1 chapter 1: overview for the arria ii device family 1?15 document revision history july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration july 2010 3.0 updated for the quartus ii software version 10.0 release: 1?16 chapter 1: overview for the arria ii device family document revision history arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration december 2010 aiigx51002-2.0 subscribe ? 2010 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 2. logic array blocks and adaptive logic modules in arria ii devices this chapter describes the features of the logic array block (lab) in the arria ? ii core fabric. the lab is composed of basic building blocks known as adaptive logic modules (alms) that you can configure to implement logic functions, arithmetic functions, and register functions. this chapter contains the following sections: direct link interconnect from adjacent b lock direct link interconnect to adjacent b lock row i n te r co nn ect s of va r iable s peed & le n gth colum n i n te r co nn ect s of va r iable s peed & le n gth local i n te r co nn ect i s d r ive n f r om eithe r s ide by colum n i n te r co nn ect & lab s , & f r om above by row i n te r co nn ect local i n te r co nn ect lab direct link interconnect from adjacent b lock direct link interconnect to adjacent b lock alm s mlab c4 c12 r20 r4 december 2010 aiigx51002-2.0 2?2 chapter 2: logic array blocks and adaptive logic modules in arria ii devices logic array blocks arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation the lab of the arria ii device has a derivative called memory lab (mlab), which adds look-up table (lut)-based sram capability to the lab. the mlab supports a maximum of 640 bits of simple dual-port sram. you can configure each alm in an mlab as either a 64 1 or 32 2 block, resulting in a configuration of 64 10 or 32 20 simple dual-port sram blocks. mlab and lab blocks always coexist as pairs in arria ii devices. mlab is a superset of the lab and includes all lab features. figure 2?2 shows an overview of lab and mlab topology. f for more information about mlabs, refer to the trimatrix memory blocks in arria ii devices chapter. figure 2?2. lab and mlab structure in arria ii devices note to figure 2?2 : (1) you can use an mlab alm as a regular lab alm or configure it as a dual-port sram. mlab lab lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram lut-based-64 x 1 simple dual port sram (1) (1) (1) (1) (1) (1) (1) (1) (1) (1) alm alm alm alm alm alm alm alm alm alm lab control block lab control block chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?3 logic array blocks december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration lab interconnects the lab local interconnect drives the alms in the same lab using column and row interconnects and the alm outputs in the same lab. the direct link connection feature minimizes the use of row and column interconnects, providing higher performance and flexibility. adjacent labs/mlabs, memory blocks, or dsp blocks from the left or right can also drive the lab?s local interconnect through the direct link connection. each lab can drive 30 alms through fast local and direct link interconnects. ten alms are in any given lab and ten alms are in each of the adjacent labs. figure 2?3 shows the direct link connection, which connects adjacent labs, memory blocks, dsp blocks, or i/o element (ioe) outputs. figure 2?3. direct link connection alm s direct link interconnect to right direct link interconnect from right lab, memory b lock, dsp b lock, or ioe o u tp u t direct link interconnect from left lab, memory b lock, dsp b lock, or ioe o u tp u t local i n te r co nn ect lab alm s direct link interconnect to left mlab 2?4 chapter 2: logic array blocks and adaptive logic modules in arria ii devices logic array blocks arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation lab control signals each lab contains dedicated logic for driving a maximum of 10 control signals to its alms at a time. control signals include three clocks, three clock enables, two asynchronous clears, a synchronous clear, and synchronous load control signals. although you generally use synchronous-load and clear signals when implementing counters, you can also use them with other functions. each lab has two unique clock sources and three clock enable signals, as shown in figure 2?4 . the lab control block can generate up to three clocks using two clock sources and three clock enable signals. each clock and clock enable signals are linked. for example, any alm in a particular lab using the labclk1 signal also uses the labclkena1 signal. if the lab uses both the rising and falling edges of a clock, it also uses two lab-wide clock signals. de-asserting the clock enable signal turns off the corresponding lab-wide clock. the lab row clocks [5..0] and lab local interconnects generate the lab-wide control signals. in addition to data, the inherent low skew of the multitrack interconnect allows clock and control signal distribution. figure 2?4. lab-wide control signals dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect labclk2 syncload labclkena0 or asyncload or labpreset labclk0 labclk1 labclr1 labclkena1 labclkena2 labclr0 synclr 6 6 6 there are two u niq u e clock signals per lab. chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?5 adaptive logic modules december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration adaptive logic modules the alm is the basic building block of logic in the arria ii device architecture. each alm contains a variety of lut-based resources that can be divided between two combinational adaptive luts (aluts) and two registers. with up to eight inputs for the two combinational aluts, one alm can implement various combinations of two functions. this adaptability allows an alm to be completely backward-compatible with 4-input lut architectures. one alm can also implement any function with up to 6-input and certain 7-input functions. in addition to the alut-based resources, each alm contains two programmable registers, two dedicated full adders, a carry chain, a shared arithmetic chain, and a register chain. through these dedicated resources, an alm can efficiently implement various arithmetic functions and shift registers. each alm drives all types of interconnects: local, row, column, carry chain, shared arithmetic chain, register chain, and direct link. figure 2?5 shows a high-level block diagram of the arria ii alm. figure 2?5. high-level block diagram of the arria ii alm dq to general or local ro u ting reg0 to general or local ro u ting datae0 dataf0 reg_chain_in reg_chain_o u t adder0 dataa data b datac datad datae1 dataf1 dq to general or local ro u ting reg1 to general or local ro u ting adder1 carry_in carry_o u t com b inational/memory alut0 6-inp u t lut 6-inp u t lut shared_arith_o u t shared_arith_in com b inational/memory alut1 la b clk 2?6 chapter 2: logic array blocks and adaptive logic modules in arria ii devices adaptive logic modules arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation figure 2?6 shows a detailed view of all the connections in an alm. one alm contains two programmable registers. each register has data, clock, clock enable, synchronous and asynchronous clear, and synchronous load and clear inputs. global signals, general purpose i/o (gpio) pins, or any internal logic can drive the register?s clock and clear-control signals. either gpio pins or internal logic can drive the clock enable. for combinational functions, the register is bypassed and the output of the lut drives directly to the outputs of an alm. each alm has two sets of outputs that drive the local, row, and column routing resources. the lut, adder, or register output can drive the alm outputs (refer to figure 2?6 ). for each set of output drivers, two alm outputs can drive column, row, or direct link routing connections, and one of these alm outputs can also drive local interconnect resources. the lut or adder can drive one output while the register drives another output. figure 2?6. connection details of the arria ii alm d q + reg_chain_in aclr[1:0] sclr syncload clk[2:0] carry_in dataf0 datae0 dataa data b datac1 datae1 dataf1 shared_arith_o u t carry_o u t reg_chain_o u t clr d q clr shared_arith_in local interconnect ro w , col u mn direct link ro u ting ro w , col u mn direct link ro u ting local interconnect 4-i n put lut 4-i n put lut 3-i n put lut 3-i n put lut 3-i n put lut 3-i n put lut + datac0 v cc g n d ro w , col u mn direct link ro u ting ro w , col u mn direct link ro u ting chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?7 adaptive logic modules december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration this feature is called register packing. it improves device utilization by allowing the device to use the register and combinational logic for unrelated functions. another mechanism to improve fitting is to allow the register output to feed back into the lut of the same alm so that the register is packed with its own fan-out lut. the alm can also drive out registered and unregistered versions of the lut or adder output. the quartus ii software automatically configures the alms for optimized performance. alm operating modes the arria ii alm can operate in any of the following modes: 2?8 chapter 2: logic array blocks and adaptive logic modules in arria ii devices adaptive logic modules arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation normal mode normal mode is suitable for general logic applications and combinational functions. in this mode, up to eight data inputs from the lab local interconnect are inputs to the combinational logic. normal mode allows two functions to be implemented in one arria ii alm, or a single function of up to six inputs. the alm can support certain combinations of completely independent functions and various combinations of functions that have common inputs. figure 2?7 shows the supported lut combinations in normal mode. normal mode provides complete backward-compatibility with 4-input lut architectures. figure 2?7. alm in normal mode (note 1) note to figure 2?7 : (1) combinations of functions with fewer inputs than those shown are also supported. for example, combinations of functions with the following number of inputs are supported: 4 and 3, 3 and 3, 3 and 2, and 5 and 2. 6-inp u t lut dataf0 datae0 dataf0 datae0 dataa data b dataa data b data b datac datac dataf0 datae0 dataa datac 6-inp u t lut datad datad datae1 com b o u t0 com b o u t1 com b o u t0 com b o u t1 com b o u t0 com b o u t1 dataf1 datae1 dataf1 datad datae1 dataf1 4-inp u t lut 4-inp u t lut 4-inp u t lut 6-inp u t lut dataf0 datae0 dataa data b datac datad com b o u t0 5-inp u t lut 5-inp u t lut dataf0 datae0 dataa data b datac datad com b o u t0 com b o u t1 datae1 dataf1 5-inp u t lut dataf0 datae0 dataa data b datac datad com b o u t0 com b o u t1 datae1 dataf1 5-inp u t lut 3-inp u t lut chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?9 adaptive logic modules december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration for the packing of two 5-input functions into one alm, the functions must have at least two common inputs. the common inputs are dataa and datab . the combination of a 4-input function with a 5-input function requires one common input (either dataa or datab ). in the case of implementing two 6-input functions in one alm, four inputs must be shared and the combinational function must be the same. in a sparsely used device, functions that could be placed in one alm may be implemented in separate alms by the quartus ii software to achieve the best possible performance. as a device begins to fill up, the quartus ii software automatically utilizes the full potential of the arria ii alm. the quartus ii compiler automatically searches for functions using common inputs or completely independent functions to be placed in one alm to make efficient use of device resources. in addition, you can manually control resource usage by setting location assignments. any 6-input function can be implemented using inputs dataa , datab , datac , datad , and either datae0 and dataf0 or datae1 and dataf1 . if datae0 and dataf0 are utilized, the output is driven to register0 , and/or register0 is bypassed and the data drives out to the interconnect using the top set of output drivers (refer to figure 2?8 ). if datae1 and dataf1 are used, the output either drives to register1 or bypasses register1 and drives to the interconnect using the bottom set of output drivers. the quartus ii compiler automatically selects the inputs to the lut. alms in normal mode support register packing. figure 2?8. input function in normal mode (note 1) notes to figure 2?8 : (1) if datae1 and dataf1 are used as inputs to a 6-input function, datae0 and dataf0 are available for register packing. (2) the dataf1 input is available for register packing only if the 6-input function is unregistered. 6-inp u t lut dataf0 datae0 dataa data b datac datad datae1 dataf1 dq dq to general or local ro u ting to general or local ro u ting to general or local ro u ting reg0 reg1 (2) la b clk 2?10 chapter 2: logic array blocks and adaptive logic modules in arria ii devices adaptive logic modules arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation extended lut mode use extended lut mode to implement a specific set of 7-input functions. the set must be a 2-to-1 multiplexer fed by two arbitrary 5-input functions sharing four inputs. figure 2?9 shows the template of supported 7-input functions using extended lut mode. in this mode, if the 7-input function is unregistered, the unused eighth input is available for register packing. functions that fit into the template, as shown in figure 2?9 , often appear in designs as ?if-else? statements in verilog hdl or vhdl code. figure 2?9. template for supported 7-input functions in extended lut mode note to figure 2?9 : (1) if the 7-input function is unregistered, the unused eighth input is available for register packing. the second register, reg1 , is not available. datae0 com b o u t0 5-inp u t lut 5-inp u t lut datac dataa data b datad dataf0 datae1 dataf1 dq to general or local ro u ting to general or local ro u ting reg0 thi s i n put i s available fo r r egi s te r packi n g. (1) chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?11 adaptive logic modules december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration arithmetic mode arithmetic mode is ideal for implementing adders, counters, accumulators, wide parity functions, and comparators. the alm in arithmetic mode uses two sets of two 4-input luts along with two dedicated full adders. the dedicated adders allow the luts to be available to perform pre-adder logic; therefore, each adder can add the output of two 4-input functions. the four luts share dataa and datab inputs. as shown in figure 2?10 , the carry-in signal feeds to adder0 and the carry-out from adder0 feeds to the carry-in of adder1 . the carry-out from adder1 drives to adder0 of the next alm in the lab. alms in arithmetic mode can drive out registered and unregistered versions of the adder outputs. in arithmetic mode, the alm supports simultaneous use of the adder?s carry output along with combinational logic outputs. the adder output is ignored in this operation. using the adder with combinational logic output provides resource savings of up to 50% for functions that can use this mode. arithmetic mode also offers clock enable, counter enable, synchronous up and down control, add and subtract control, synchronous clear, and synchronous load. the lab local interconnect data inputs generate the clock enable, counter enable, synchronous up and down, and add and subtract control signals. these control signals are good candidates for the inputs that share the four luts in the alm. the synchronous clear and synchronous load options are lab-wide signals that affect all registers in the lab. these signals can also be individually disabled or enabled per register. the quartus ii software automatically places any registers that are not used by the counter into other labs. figure 2?10. alm in arithmetic mode datae0 carry_in carry_o u t dataa data b datac datad datae1 dq dq to general or local ro u ting to general or local ro u ting reg0 reg1 to general or local ro u ting to general or local ro u ting 4-inp u t lut 4-inp u t lut 4-inp u t lut 4-inp u t lut adder1 adder0 dataf0 dataf1 2?12 chapter 2: logic array blocks and adaptive logic modules in arria ii devices adaptive logic modules arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation carry chain the carry chain provides a fast carry function between the dedicated adders in arithmetic or shared arithmetic mode. the two-bit carry select feature in arria ii devices halves the propagation delay of carry chains within the alm. carry chains can begin in either the first alm or the fifth alm in a lab. the final carry-out signal is routed to an alm, where it is fed to local, row, or column interconnects. the quartus ii compiler automatically creates carry chain logic during design processing, or you can create it manually during design entry. parameterized functions such as lpm automatically take advantage of carry chains for the appropriate functions. the quartus ii compiler creates carry chains longer than 20 alms (10 alms in arithmetic or shared arithmetic mode) by linking labs together automatically. to enhance fitting, a long carry chain runs vertically, allowing fast horizontal connections to trimatrix memory and dsp blocks. a carry chain can continue as far as a full column. to avoid routing congestion in one small area of the device when a high fan-in arithmetic function is implemented, the lab can support carry chains that only use either the top half or bottom half of the lab before connecting to the next lab. this leaves the other half of the alms in the lab available for implementing narrower fan-in functions in normal mode. carry chains that use the top five alms in the first lab carry into the top half of the alms in the next lab in the column. carry chains that use the bottom five alms in the first lab carry into the bottom half of the alms in the next lab within the column. in every alternate lab column, the top half can be bypassed; in the other mlab columns, the bottom half can be bypassed. 1 for more information on carry chain interconnect, refer to ?alm interconnects? on page 2?17 . chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?13 adaptive logic modules december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration shared arithmetic mode in shared arithmetic mode, the alm can implement a 3-input add in an alm. in this mode, the alm is configured with four 4-input luts. each lut either computes the sum of three inputs or the carry of three inputs. the output of the carry computation is fed to the next adder using a dedicated connection called the shared arithmetic chain. this shared arithmetic chain can significantly improve the performance of an adder tree by reducing the number of summation stages required to implement an adder tree. figure 2?11 shows the alm using this feature. you can find adder trees in many different applications. for example, the summation of the partial products in a logic-based multiplier can be implemented in a tree structure. another example is a correlator function that can use a large adder tree to sum filtered data samples in a given time frame to recover or de-spread data that was transmitted using spread-spectrum technology. figure 2?11. alm in shared arithmetic mode datae0 carry_in shared_arith_in shared_arith_o u t carry_o u t dataa data b datac datad datae1 dq dq to general or local ro u ting to general or local ro u ting reg0 reg1 to general or local ro u ting to general or local ro u ting 4-inp u t lut 4-inp u t lut 4-inp u t lut 4-inp u t lut la b clk 2?14 chapter 2: logic array blocks and adaptive logic modules in arria ii devices adaptive logic modules arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation shared arithmetic chain the shared arithmetic chain available in enhanced arithmetic mode allows the alm to implement a 3-input add. this significantly reduces the resources necessary to implement large adder trees or correlator functions. the shared arithmetic chains can begin in either the first or sixth alm in an lab. the quartus ii compiler creates shared arithmetic chains longer than 20 alms (10 alms in arithmetic or shared arithmetic mode) by linking labs together automatically. to enhance fitting, a long shared arithmetic chain runs vertically, allowing fast horizontal connections to the trimatrix memory and dsp blocks. a shared arithmetic chain can continue as far as a full column. similar to the carry chains, the top and bottom half of shared arithmetic chains in alternate lab columns can be bypassed. this capability allows the shared arithmetic chain to cascade through half of the alms in an lab while leaving the other half available for narrower fan-in functionality. every other lab column is top-half bypassable, while the other lab columns are bottom-half bypassable. 1 for more information on shared arithmetic chain interconnect, refer to ?alm interconnects? on page 2?17 . chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?15 adaptive logic modules december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration lut-register mode lut-register mode allows third register capability in an alm. two internal feedback loops allow combinational alut1 to implement the master latch and combinational alut0 to implement the slave latch needed for the third register. the lut register shares its clock, clock enable, and asynchronous clear sources with the top dedicated register. figure 2?12 shows the register constructed using two combinational blocks in the alm. figure 2?13 shows the alm in lut-register mode. figure 2?12. lut register from two combinational blocks 4-input lut 5-input lut clk aclr datain(datac) sclr sumout master latch slave latch combout lut regout sumout combout figure 2?13. alm in lut-register mode with 3-register capability datain aclr sclr regout latchout datain sdata regout aclr datain sdata regout aclr dc1 e0 f1 e1 f0 clk [2..0] aclr [1..0] reg_chain_in lelocal 0 leout 0 a leout 0 b reg_chain_out lelocal 1 leout 1 a leout 1 b third register 2?16 chapter 2: logic array blocks and adaptive logic modules in arria ii devices adaptive logic modules arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation register chain in addition to general routing outputs, the alms in any given lab have register chain outputs to allow registers in the same lab to be cascaded together. the register chain interconnect allows a lab to use luts for a single combinational function and the registers to be used for an unrelated shift register implementation. these resources speed up connections between alms while saving local interconnect resources (refer to figure 2?14 ). the quartus ii compiler automatically takes advantage of these resources to improve utilization and performance. 1 for more information about register chain interconnect, refer to ?alm interconnects? on page 2?17 . figure 2?14. register chain in an lab (note 1) note to figure 2?14 : (1) you can use the combinational or adder logic to implement an unrelated, un-registered function. dq to general or local ro u ting reg0 to general or local ro u ting reg_chain_in adder0 dq to general or local ro u ting reg1 to general or local ro u ting adder1 dq to general or local ro u ting reg0 to general or local ro u ting reg_chain_o u t adder0 dq to general or local ro u ting reg1 to general or local ro u ting adder1 f r om p r eviou s alm i n the lab to n ext alm i n the lab com b inational logic com b inational logic la b clk chapter 2: logic array blocks and adaptive logic modules in arria ii devices 2?17 adaptive logic modules december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration alm interconnects there are three dedicated paths between alms: register cascade, carry-chain, and shared arithmetic chain. arria ii devices include an enhanced interconnect structure in labs for routing shared arithmetic chains and carry chains for efficient arithmetic functions. the register chain connection allows the register output of one alm to connect directly to the register input of the next alm in the lab for fast shift registers. these alm-to-alm connections bypass the local interconnect. figure 2?15 shows the shared arithmetic chain, carry chain, and register chain interconnects. clear and preset logic control lab-wide signals control the logic for the register?s clear signal. the alm directly supports an asynchronous clear function. you can achieve the register preset through the quartus ii software?s not-gate push-back logic option. each lab supports up to two clears. arria ii devices provide a device-wide reset pin ( dev_clrn ) that resets all registers in the device. an option set before compilation in the quartus ii software enables this pin. this device-wide reset overrides all other control signals. lab power management techniques the following techniques are used to manage static and dynamic power consumption within the lab: alm 1 alm 2 alm 3 carry chain & shared arithmetic chain ro u ting to adjacent alm local interconnect register chain ro u ting to adjacent alm's register inp u t local interconnect ro u ting among alms in the lab alm 10 ... ... 2?18 chapter 2: logic array blocks and adaptive logic modules in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation labclk1 signal also uses the labclkena1 signal. to disable an lab-wide clock power consumption without disabling the entire clock tree, use the lab-wide clock enable to gate the lab-wide clock. the quartus ii software automatically promotes register-level clock enable signals to the lab-level. all registers within the lab that share a common clock and clock enable are controlled by a shared, gated clock. to take advantage of these clock enables, use a clock-enable construct in your hdl code for the registered logic. f for more information about implementing static and dynamic power consumption within the lab, refer to the power optimization chapter in volume 2 of the quartus ii handbook . document revision history ta b l e 2 ?1 lists the revision history for this document. table 2?1. document revision history date version changes december 2010 2.0 updated for the quartus ii software version 10.1 release: arria ii device handbook volume 1: device interfaces and integration december 2011 aiigx51003-3.2 subscribe ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 3. memory blocks in arria ii devices this chapter describes the arria ? ii device memory blocks that include 640-bit memory logic array blocks (mlabs), 9-kbit m9k blocks, and 144-kbit m144k blocks. mlabs are optimized to implement filter delay lines, small fifo buffers, and shift registers. you can use the m9k blocks for general purpose memory applications and the m144k blocks for processor code storage, packet buffering, and video frame buffering. 1 m144k block is only available for arria ii gz devices. you can configure each embedded memory block independently with the quartus ? ii megawizard ? plug-in manager to be a single- or dual-port ram, fifo, rom, or shift register. you can stitch together multiple blocks of the same type to produce larger memories with a minimal timing penalty. this chapter contains the following sections: december 2011 aiigx51003-3.2 3?2 chapter 3: memory blocks in arria ii devices memory features arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation memory features ta b l e 3 ?1 lists the features supported by the embedded memory blocks. table 3?1. summary of memory features in arria ii devices (part 1 of 2) feature mlabs m9k blocks m144k blocks arria ii gx arria ii gz arria ii gx arria ii gz arria ii gz maximum performance 500 mhz 500 mhz 390 mhz 540 mhz 500 mhz total ram bits (including parity bits) 640 640 9,216 9,216 147,456 configurations (depth width) 64 8 64 9 64 10 32 16 32 18 32 20 64 8 64 9 6410 3216 3218 3220 8k 1 4k 2 2k 4 1k 8 1k 9 512 16 512 18 256 32 256 36 8k 1 4k 2 2k 4 1k 8 1k 9 512 16 512 18 256 32 256 36 16k 8 16k 9 8k 16 8k 18 4k 32 4k 36 2k 64 2k 72 parity bits vvvv v byte enable vvvv v packed mode ? ? vv v address clock enable vvvv v single-port memory vvvv v simple dual-port memory vvvv v true dual-port memory ? ? vv v embedded shift register vvvv v rom vvvv v fifo buffer vvvv v simple dual-port mixed width support ?? vv v true dual-port mixed width support ?? vv v memory initialization file ( .mif ) vvvv v mixed-clock mode vvvv v power-up condition outputs cleared if registered, otherwise reads memory contents. outputs cleared outputs cleared register clears output registers o utput registers output registers write/read operation triggering write: falling clock edges. read: rising clock edges write and read: rising clock edges write and read: rising clock edges same-port read-during-write outputs set to old data outputs set to don?t care outputs set to old data or new data outputs set to old data or new data chapter 3: memory blocks in arria ii devices 3?3 memory features december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 3 ?2 lists the capacity and distribution of the memory blocks in each arria ii device. memory block types m9k and m144k memory blocks are dedicated resources. mlabs are dual-purpose blocks. you can configure the mlabs as regular logic array blocks (labs) or as mlabs. ten alms make up one mlab. you can configure each alm in an mlab as either a 64 1 or a 32 2 block, resulting in a 64 10 or 32 20 simple dual-port sram block in a single mlab. parity bit support all memory blocks have built-in parity bit support. the ninth bit associated with each byte can store a parity bit or serve as an additional data bit. no parity function is actually performed on the ninth bit. byte enable support all memory blocks support byte enables that mask the input data so that only specific bytes of data are written. the unwritten bytes retain the previous written value. the write enable ( wren ) signals, along with the byte enable ( byteena ) signals, control the write operations of the ram blocks. mixed-port read-during-write outputs set to old data , new data , or don?t care outputs set to old data or don?t care outputs set to old data or don?t care ecc support soft ip support using the quartus ii software soft ip support using the quartus ii software built-in support in 64-wide simple dual-port mode or soft ip support using the quartus ii software table 3?1. summary of memory features in arria ii devices (part 2 of 2) feature mlabs m9k blocks m144k blocks arria ii gx arria ii gz arria ii gx arria ii gz arria ii gz table 3?2. memory capacity and distribution in arria ii devices device mlabs m9k blocks m144k total ram bits (including mlabs) (kbits) ep2agx45 903 319 ? 3,435 ep2agx65 1,265 495 ? 5,246 ep2agx95 1,874 612 ? 6,679 EP2AGX125 2,482 730 ? 8,121 ep2agx190 3,806 840 ? 9,939 ep2agx260 5,130 950 ? 11,756 ep2agz225 4,480 1,235 ? 13,915 ep2agz300 5,960 1,248 24 18,413 ep2agz350 6,970 1,248 36 20,772 3?4 chapter 3: memory blocks in arria ii devices memory features arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation the default value for the byte enable signals is high (enabled), in which case writing is controlled only by the write enable signals. the byte enable registers have no clear port. when using parity bits on the m9k and m144k blocks, the byte enable controls all 9 bits (8 bits of data plus 1 parity bit). when using parity bits on the mlab, the byte-enable controls all 10 bits in the widest mode. byte enables are only supported for true dual-port memory configurations when both the porta and portb data widths of the individual m9k memory blocks are multiples of 8 or 9 bits. for example, you cannot use byte enable for a mixed data width memory configured with porta=32 and portb=8 because the mixed data width memory is implemented as 2 separate 16 x 4 bit memories. byte enables operate in a one-hot fashion, with the lsb of the byteena signal corresponding to the lsb of the data bus. for example, if you use a ram block in 18 mode, byteena = 01 , data[8..0] is enabled and data[17..9] is disabled. similarly, if byteena = 11 , both data[8..0] and data[17..9] are enabled. byte enables are active high. 1 you cannot use the byte enable feature when using the error correction coding (ecc) feature on m144k blocks. figure 3?1 shows how the write enable ( wren ) and byte enable ( byteena ) signals control the operations of the m9k and m144k memory blocks. when a byte-enable bit is deasserted during a write cycle, the corresponding data byte output can appear as either a ?don?t care? value or the current data at that location. the output value for the masked byte is controllable using the quartus ii software. when a byte-enable bit is asserted during a write cycle, the corresponding data byte output also depends on the setting chosen in the quartus ii software. figure 3?1. byte enable functional waveform for m9k and m144k inclock w ren address data don't care: q (asynch) b yteena xxxx abcd xxxx xx 10 01 11 xx an a0 a1 a2 a0 a1 a2 abcd ffff ffff abff ffff ffcd contents at a0 contents at a1 contents at a2 do u tn abxx xxcd abcd abff ffcd abcd do u tn abff ffcd abcd abff ffcd abcd c u rrent data: q (asynch) chapter 3: memory blocks in arria ii devices 3?5 memory features december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 3?2 shows how the wren and byteena signals control the operations of the mlabs. falling clock edges triggers the write operation in mlabs. packed mode support arria ii m9k and m144k blocks support packed mode. the packed mode feature packs two independent single-port rams into one memory block. the quartus ii software automatically implements the packed mode where appropriate by placing the physical ram block into true dual-port mode and using the msb of the address to distinguish between the two logical rams. the size of each independent single-port ram must not exceed half of the target block size. address clock enable support arria ii memory blocks support address clock enable, which holds the previous address value for as long as the signal is enabled ( addressstall = 1 ). when you configure the memory blocks in dual-port mode, each port has its own independent address clock enable. the default value for the address clock enable signal is low (disabled). figure 3?2. byte enable functional waveform for mlabs inclock w ren address data b yteena xxxx abcd xxxx abcd ffff ffff abff ffff ffcd contents at a0 contents at a1 contents at a2 c u rrent data: q (asynch) do u tn ffff ffcd abcd ffff abff ffcd ffcd an a0 a1 a2 a0 a1 a2 xx 10 01 11 xx abff ffff 3?6 chapter 3: memory blocks in arria ii devices memory features arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation figure 3?3 shows an address clock enable block diagram. the port name addressstall refers to the address clock enable. figure 3?4 shows the address clock enable waveform during the read cycle. figure 3?3. address clock enable address[0] address[n] addressstall clock 1 0 address[0] register address[n] register address[n] address[0] 1 0 figure 3?4. address clock enable during read cycle waveform inclock rden rdaddress q (synch) a0 a1 a2 a3 a4 a5 a6 q (asynch) an a0 a4 a5 latched address (inside memory) do u t0 do u t1 do u t4 do u t4 do u t5 addressstall a1 do u tn-1 do u tn do u tn do u t0 do u t1 chapter 3: memory blocks in arria ii devices 3?7 memory features december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 3?5 shows the address clock enable waveform during write cycle for m9k and m144k blocks. figure 3?6 shows the address clock enable waveform during the write cycle for mlabs. figure 3?5. address clock enable during write cycle waveform for m9k and m144k blocks inclock w ren w raddress a0 a1 a2 a3 a4 a5 a6 an a0 a4 a5 latched address (inside memory) addressstall a1 data 00 01 02 03 04 05 06 contents at a0 contents at a1 contents at a2 contents at a3 contents at a4 contents at a5 xx 04 xx 00 03 01 xx 02 xx xx xx 05 figure 3?6. address clock enable during write cycle waveform for mlabs inclock w ren w raddress a0 a1 a2 a3 a4 a5 a6 an a0 a4 a5 latched address (inside memory) addressstall a1 data 00 01 02 03 04 05 06 contents at a0 contents at a1 contents at a2 contents at a3 contents at a4 contents at a5 xx 04 xx 00 03 01 xx 02 xx xx xx 05 3?8 chapter 3: memory blocks in arria ii devices memory features arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation mixed width support m9k and m144k blocks support mixed data widths inherently. mlabs can support mixed data widths through emulation with the quartus ii software. when using simple dual-port, true dual-port, or fifo modes, mixed width support allows you to read and write different data widths to a memory block. for more information about the different widths supported per memory mode, refer to ?memory modes? on page 3?10 . 1 mlabs do not support mixed-width fifo mode. asynchronous clear arria ii memory blocks support asynchronous clears on the output latches and output registers. therefore, if your ram is not using output registers, you can still clear the ram outputs using the output latch asynchronous clear. figure 3?7 shows a functional waveform showing this functionality. you can selectively enable asynchronous clears per logical memory using the ram megawizard plug-in manager. f for more information about the ram megawizard plug-in manager, refer to the internal memory (ram and rom) megafunction user guide . error correction code support arria ii gz m144k blocks have built-in support for ecc when in 64-wide simple dual-port mode. ecc allows you to detect and correct data errors in the memory array. the m144k blocks have a single-error-correction double-error-detection (secded) implementation. secded can detect and fix a single bit error in a 64-bit word, or detect two bit errors in a 64-bit word. it cannot detect three or more errors. the m144k ecc status is communicated using a three-bit status flag ( eccstatus[2..0] ). the status flag can be either registered or unregistered. when registered, it uses the same clock and asynchronous clear signals as the output registers. when unregistered, it cannot be asynchronously cleared. figure 3?7. output latch asynchronous clear waveform aclr aclr at latch q outclk chapter 3: memory blocks in arria ii devices 3?9 memory features december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 3 ?3 lists the truth table for the ecc status flags. 1 you cannot use the byte enable feature when ecc is engaged. 1 read-during-write old data mode is not supported when ecc is engaged. figure 3?8 shows a diagram of the ecc block of the m144k block. table 3?3. truth table for ecc status flags in arria ii devices status eccstatus[2] eccstatus[1] eccstatus[0] no error 0 0 0 single error and fixed 0 1 1 double error and no fix 1 0 1 illegal 0 0 1 illegal 0 1 0 illegal 1 0 0 illegal 1 1 x figure 3?8. ecc block diagram of the m144k block data input 64 64 64 872 secded encoder ram array 72 64 64 8 8 8 8 8 64 64 3 status flags data output secded encoder comparator error correction block error locator flag generator 3?10 chapter 3: memory blocks in arria ii devices memory modes arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation memory modes arria ii memory blocks allow you to implement fully synchronous sram memory in multiple modes of operation. m9k and m144k blocks do not support asynchronous memory (unregistered inputs). mlabs support asynchronous (flow-through) read operations. depending on which memory block you target, you can use the following modes: 1 to choose the desired read-during-write behavior, set the read-during-write behavior to either new data , old data , or don ' t care in the ram megawizard plug-in manager in the quartus ii software. for more information about this behavior, refer to ?read-during-write behavior? on page 3?21 . 1 when using the memory blocks in rom, single-port, simple dual-port, or true dual-port mode, you can corrupt the memory contents if you violate the setup or hold time on any of the memory block input registers. this applies to both read and write operations. single-port ram mode all memory blocks support single-port mode. single-port mode allows you to do either a one-read or a one-write operation at a time. simultaneous reads and writes are not supported in single-port mode. figure 3?9 shows the single-port ram configuration. figure 3?9. single-port memory (note 1) note to figure 3?9 : (1) you can implement two single-port memory blocks in a single m9k and m144k blocks. for more information, refer to ?packed mode support? on page 3?5 . data[ ] address[ ] w ren b yteena[] addressstall inclock clockena rden aclr o u tclock q[] chapter 3: memory blocks in arria ii devices 3?11 memory modes december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration during a write operation, the ram output behavior is configurable. if you use the read-enable signal and perform a write operation with the read enable deactivated, the ram outputs retain the values they held during the most recent active read enable. if you activate read enable during a write operation, or if you do not use the read-enable signal at all, the ram outputs show the ?new data? being written, the ?old data? at that address, or a ?don?t care? value. ta b l e 3 ?4 lists the possible port width configurations for memory blocks in single-port mode. figure 3?10 shows timing waveforms for read and write operations in single-port mode with unregistered outputs for m9k and m144k blocks. registering the m9k and m144k block outputs delay the q output by one clock cycle. table 3?4. port width configurations for mlabs, m9k, and m144k blocks (single-port mode) port width configurations mlabs m9k blocks m144k blocks 64 8 64 9 6410 3216 3218 3220 8k 1 4k 2 2k 4 1k 8 1k 9 512 16 512 18 256 32 256 36 16k 8 16k 9 8k 16 8k 18 4k 32 4k 36 2k 64 2k 72 figure 3?10. timing waveform for read-write operations for m9k and m144k blocks (single-port mode) clk_a w rena address_a data_a rdena q_a (asynch) a0 a1 ab c d ef a0(old data) a1(old data) ab d e 3?12 chapter 3: memory blocks in arria ii devices memory modes arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation figure 3?11 shows the timing waveforms for read and write operations in single-port mode with unregistered outputs for the mlab. the rising clock edges trigger the read operation whereas the falling clock edges triggers the write operation. simple dual-port mode all memory blocks support simple dual-port mode. simple dual-port mode allows you to perform one-read and one-write operation to different locations at the same time. the write operation occurs on port a; the read operation occurs on port b. figure 3?12 shows a simple dual-port configuration. simple dual-port ram supports input and output clock mode in addition to the read and write clock mode. figure 3?11. timing waveform for read-write operations for mlabs (single-port mode ) clk_a wrena address_a data_a rdena q _a (asynch) a0 a1 ab c d ef a0 (old data) a bde a1 (old data) c figure 3?12. arria ii simple dual-port memory note to figure 3?12 : (1) only available for arria ii gz devices. data[ ] w raddress[ ] w ren b yteena[] w r_addressstall w rclock w rclocken aclr rdaddress[ ] rden q[ ] rd_addressstall rdclock rdclocken ecc_stat u s (1) chapter 3: memory blocks in arria ii devices 3?13 memory modes december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration simple dual-port mode supports different read and write data widths (mixed width support). table 3?5 lists the mixed width configurations for the m9k blocks in simple dual-port mode. mlabs do not have native support for mixed width operations. the quartus ii software can implement mixed width memories in mlabs with more than one mlab. ta b l e 3 ?6 lists the mixed-width configurations for m144k blocks in simple dual-port mode. in simple dual-port mode, m9k and m144k blocks support separate write-enable and read-enable signals. read-during-write operations to the same address can either output a ?don?t care? or ?old data? value. mlabs only support a write-enable signal. read-during-write behavior for the mlabs can be either a ?don?t care? or ?old data? value. the available choices depend on the configuration of the mlab. table 3?5. m9k block mixed-width configurations (simple dual-port mode) read port write port 8k1 4k2 2k4 1k8 51216 25632 1k9 51218 25636 8k 1 vvvv v v ?? ? 4k 2 vvvv v v ?? ? 2k 4 vvvv v v ?? ? 1k 8 vvvv v v ?? ? 51216 vvvv v v ?? ? 25632 vvvv v v ?? ? 1k9 ???? ? ? vv v 51218 ? ? ? ? ? ? vv v 25636 ? ? ? ? ? ? vv v table 3?6. m144k block mixed-width configurations (simple dual-port mode) read port write port 16k 8 8k 16 4k 32 2k 64 16k 9 8k 18 4k 36 2k 72 16k 8 vvvv ???? 8k 16 vvvv ???? 4k 32 vvvv ???? 2k 64 vvvv ???? 16k 9 ? ? ? ? vvvv 8k18 ???? vvvv 4k36 ???? vvvv 2k72 ???? vvvv 3?14 chapter 3: memory blocks in arria ii devices memory modes arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation figure 3?13 shows timing waveforms for read and write operations in simple dual-port mode with unregistered outputs for m9k and m144k blocks. registering the m9k and m144k block outputs delay the q output by one clock cycle. figure 3?14 shows the timing waveforms for read and write operations in simple dual-port mode with unregistered outputs in the mlab. the write operation is triggered by the falling clock edges. figure 3?13. simple dual-port timing waveforms for m9k and m144k blocks w rclock w ren w raddress rdclock an-1 an a0 a1 a2 a3 a4 a5 a6 q (asynch) rden rdaddress b n b 0 b 1 b 2 b 3 do u tn-1 do u tn do u t0 din-1 din din4 din5 din6 data figure 3?14. simple dual-port timing waveforms for mlabs w rclock w ren w raddress rdclock an-1 an a0 a1 a2 a3 a4 a5 a6 q (asynch) rden rdaddress b n b 0 b 1 b 2 b 3 do u tn-1 do u tn do u t0 din-1 din din4 din5 din6 data chapter 3: memory blocks in arria ii devices 3?15 memory modes december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 3?15 shows timing waveforms for read and write operations in mixed-port mode with unregistered outputs. true dual-port mode arria ii m9k and m144k blocks support true dual-port mode. sometimes called bidirectional dual-port, this mode allows you to perform any combination of two-port operations: two reads, two writes, or one read and one write at two different clock frequencies. true dual-port memory supports input and output clock mode in addition to the independent clock mode. figure 3?16 shows the true dual-port ram configuration. the widest bit configuration of the m9k and m144k blocks in true dual-port mode are: clk_a wrena rdena address a0 a1 byteena 01 10 00 11 data_a a123 b456 c789 dddd eeee ffff q _a (asynch) a0 (old data) a1 (old data) dddd eeee b423 d old d old 23 figure 3?16. arria ii true dual-port memory data_a[ ] address_a[ ] w ren_a b yteena_a[] addressstall_a clock_a ena b le_a rden_a aclr_a q_a[] data_ b [ ] address_ b [] w ren_ b b yteena_ b [] addressstall_ b clock_ b ena b le_ b rden_ b aclr_ b q_ b [] 3?16 chapter 3: memory blocks in arria ii devices memory modes arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation ta b l e 3 ?7 lists the possible m9k block mixed-port width configurations in true dual-port mode. ta b l e 3 ?8 lists the possible m144k block mixed-port width configurations in true dual-port mode. in true dual-port mode, m9k and m144k blocks support separate write-enable and read-enable signals. you can save power by keeping the read-enable signal low (inactive) when not reading. read-during-write operations to the same address can either output ?new data? at that location or ?old data?. in true dual-port mode, you can access any memory location at any time from either port. when accessing the same memory location from both ports, you must avoid possible write conflicts. a write conflict happens when you attempt to write to the same address location from both ports at the same time. this results in unknown data being stored to that address location. conflict resolution circuitry is not built into the arria ii memory blocks. you must handle address conflicts external to the ram block. table 3?7. m9k block mixed-width configuration (true-dual port mode) read port write port 8k 1 4k 2 2k 4 1k 8 512 16 1k 9 512 18 8k 1 vvvvv ?? 4k 2 vvvvv ?? 2k 4 vvvvv ?? 1k 8 vvvvv ?? 512 16 vvvvv ?? 1k9 ????? vv 512 18 ? ? ? ? ? vv table 3?8. m144k block mixed-width configurations (true dual-port mode) read port write port 16k 8 8k 16 4k 32 16k 9 8k 18 4k 36 16k 8 vvv ??? 8k 16 vvv ??? 4k 32 vvv ??? 16k 9 ? ? ? vvv 8k18 ??? vvv 4k36 ??? vvv chapter 3: memory blocks in arria ii devices 3?17 memory modes december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 3?17 shows true dual-port timing waveforms for the write operation at port a and the read operation at port b with the read-during-write behavior set to new data . registering the ram outputs delay the q outputs by one clock cycle. shift-register mode all arria ii memory blocks support shift register mode. embedded memory block configurations can implement shift registers for digital signal processing (dsp) applications, such as finite impulse response (fir) filters, pseudo-random number generators, multi-channel filtering, and auto- and cross-correlation functions. these and other dsp applications require local data storage, traditionally implemented with standard flipflops that quickly exhaust many logic cells for large shift registers. a more efficient alternative is to use embedded memory as a shift-register block, which saves logic cell and routing resources. the size of a shift register ( w m n ) is determined by the input data width ( w ), the length of the taps ( m ), and the number of taps ( n ). you can cascade memory blocks to implement larger shift registers. figure 3?17. true dual-port timing waveform clk_a w ren_a address_a clk_ b an-1 an a0 a1 a2 a3 a4 a5 a6 q_ b (asynch) w ren_ b address_ b b n b 0 b 1 b 2 b 3 do u tn-1 do u tn do u t0 q_a (asynch) din-1 din din4 din5 din6 data_a din-1 din do u t0 do u t1 do u t2 do u t3 din4 din5 do u t2 do u t1 3?18 chapter 3: memory blocks in arria ii devices memory modes arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation figure 3?18 shows the memory block in shift-register mode. rom mode all arria ii memory blocks support rom mode. a .mif initializes the rom contents of these blocks. the address lines of the rom are registered on m9k and m144k blocks; however, they can be unregistered on mlabs. the outputs can be registered or unregistered. output registers can be asynchronously cleared. the rom read operation is identical to the read operation in the single-port ram configuration. fifo mode all memory blocks support fifo mode. mlabs are ideal for designs with many small, shallow fifo buffers. to implement fifo buffers in your design, you can use the fifo megawizard plug-in manager in the quartus ii software. both single- and dual-clock (asynchronous) fifos are supported. f for more information about implementing fifo buffers, refer to the scfifo and dcfifo megafunctions user guide . 1 mlabs do not support mixed-width fifo mode. figure 3?18. shift-register memory configuration w w m n shift register m-bit shift register m-bit shift register m-bit shift register m-bit shift register w w w w w w w n number of taps chapter 3: memory blocks in arria ii devices 3?19 clocking modes december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration clocking modes arria ii memory blocks support the following clocking modes: c violating the setup or hold time on the memory block address registers could corrupt the memory contents. this applies to both read and write operations. ta b l e 3 ?9 lists the supported clocking mode/memory mode combinations. independent clock mode arria ii memory blocks can implement independent clock mode for true dual-port memories. in this mode, a separate clock is available for each port (clock a and clock b). clock a controls all registers on the port a side; clock b controls all registers on the port b side. each port also supports independent clock enables for both port a and port b registers, respectively. asynchronous clears are supported only for output latches and output registers on both ports. input and output clock mode arria ii memory blocks can implement input and output clock mode for true and simple dual-port memories. in this mode, an input clock controls all registers related to the data input to the memory block including data, address, byte enables, read enables, and write enables. an output clock controls the data output registers. asynchronous clears are available on output latches and output registers only. read and write clock mode arria ii memory blocks can implement read and write clock mode for simple dual-port memories. in this mode, a write clock controls the data-input, write-address, and write-enable registers. similarly, a read clock controls the data-output, read-address, and read-enable registers. the memory blocks support independent clock enables for both the read and write clocks. asynchronous clears are available on data output latches and registers only. table 3?9. internal memory clock modes for arria ii devices clocking mode true dual-port mode simple dual-port mode single-port mode rom mode fifo mode independent v ?? v ? input and output vvvv ? read and write ? v ?? v single clock vvvvv 3?20 chapter 3: memory blocks in arria ii devices design considerations arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation when using read and write clock mode, the output read data is unknown if you perform a simultaneous read and write to the same address location. if you require the output data to be a known value, use either single clock mode or input and output clock mode, and choose the appropriate read-during-write behavior in the megawizard plug-in manager. single clock mode arria ii memory blocks can implement single clock mode for true dual-port, simple dual-port, and single-port memories. in this mode, a single clock, together with a clock enable, is used to control all registers of the memory block. asynchronous clears are available on output latches and output registers only. design considerations this section describes guidelines for designing with memory blocks. selecting memory block the quartus ii software automatically partitions user-defined memory into embedded memory blocks by taking into account both speed and size constraints placed on your design. for example, the quartus ii software may spread out memory across multiple memory blocks when resources are available to increase the performance of your design. you can manually assign memory to a specific block size using the ram megawizard plug-in manager. mlabs can implement single-port sram through emulation with the quartus ii software. emulation results in minimal additional logic resources used. because of the dual-purpose architecture of the mlab, it only has data input registers and output registers in the block. mlabs gain input address registers and additional optional data output registers from adjacent alms with register packing. f for more information about register packing, refer to the logic array blocks and adaptive logic modules in arria ii devices chapter. conflict resolution when using the memory blocks in true dual-port mode, it is possible to attempt two write operations to the same memory location (address). because there is no conflict resolution circuitry built into the memory blocks, this results in unknown data being written to that location. therefore, you must implement conflict resolution logic, external to the memory block, to avoid address conflicts. chapter 3: memory blocks in arria ii devices 3?21 design considerations december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration read-during-write behavior you can customize the read-during-write behavior of the arria ii memory blocks to suit your design requirements. the two types of read-during-write operations are same port and mixed port. figure 3?19 shows the difference between the same port and mixed port. same-port read-during-write mode this mode applies to either a single-port ram or the same port of a true dual-port ram. in same-port read-during-write mode, three output choices are available: new data mode (or flow-through), old data mode, or don?t care mode. in new data mode, the new data is available on the rising edge of the same clock cycle on which it was written. in old data mode, the ram outputs reflect the old data at that address before the write operation proceeds. in don?t care mode, the ram outputs ?don?t care? values for a read-during-write operation. figure 3?20 shows sample functional waveforms of same-port read-during-write behavior in don?t care mode for mlabs. figure 3?19. read-during-write data flow port a data in port b data in port a data out port b data out mixed-port data flow same-port data flow figure 3?20. mlabs blocks same port read-during write: don?t care mode clk_a wrena data_in address a1 q (unregistered) a0(old data) a2 ffff aaaa xxxx xx ffff aaaa a1(old data) a2(old data) q (registered) ffff aaaa a0 xx xx xx 3?22 chapter 3: memory blocks in arria ii devices design considerations arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation figure 3?21 shows sample functional waveforms of same-port read-during-write behavior in new data mode. figure 3?22 shows sample functional waveforms of same-port read-during-write behavior in old data mode. for mlabs, the output of the mlabs can only be set to don?t care in same-port read-during-write mode. in this mode, the output of the mlabs is unknown during a write cycle. there is a window near the falling edge of the clock during which the output is unknown. prior to that window, ?old data? is read out; after that window, ?new data? is seen at the output. figure 3?21. m9k and m144k blocks same port read-during write: new data mode clk_a wrena rdena address 0a 0b byteena 01 10 00 11 data_a a123 b456 c789 dddd eeee ffff q _a (asynch) xx23 b4xx xxxx dddd eeee ffff figure 3?22. m9k and m144k blocks same port read-during-write: old data mode clk_a wrena rdena address a0 a1 byteena 01 10 00 11 data_a a123 b456 c789 dddd eeee ffff q _a (asynch) a0 (old data) a1 (old data) dddd eeee b423 d old d old 23 chapter 3: memory blocks in arria ii devices 3?23 design considerations december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration mixed-port read-during-write mode this mode applies to a ram in simple or true dual-port mode that has one port reading from and the other port writing to the same address location with the same clock. in this mode, you can choose ?old data?, ?new data? or ?don?t care? values as the output. for old data mode, a read-during-write operation to different ports causes the ram outputs to reflect the ?old data? value at that address location. for new data mode, a read-during-write operation to different ports causes the mlab registered output to reflect the ?new data? value on the next rising edge after the data is written to the mlab memory. for don?t care mode, the same operation results in a ?don?t care? or ?unknown? value on the ram outputs. 1 read-during-write behavior is controlled using the ram megawizard plug-in manager. for more information about how to implement the desired behavior, refer to the internal memory (ram and rom) megafunction user guide . figure 3?23 shows a sample functional waveform of mixed-port read-during-write behavior for old data mode in mlabs. figure 3?23. mlabs mixed-port read-during-write: old data mode clk_a wrena data_in wraddress a1 byteena_a q _b(registered) a0 rdaddress a1 a0 aaaa bbbb cccc dddd eeee ffff a0 (old data) a1 (old data) dddd aabb aaaa 11 01 10 11 01 10 ddee 3?24 chapter 3: memory blocks in arria ii devices design considerations arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation figure 3?24 shows a sample functional waveform of mixed-port read-during-write behavior for new data mode in mlabs. figure 3?25 shows a sample functional waveform of mixed-port read-during-write behavior for don?t care mode in mlabs. figure 3?24. mlabs mixed-port read-during-write: new data mode xxxx 11 clk_a address_a wren_a byteena_a data_a q _b (registered) aaaa bbbb cccc dddd eeee ffff 1 a 0 a aaaa bbbb cccc dddd eeee ffff figure 3?25. mlabs mixed-port read-during-write: don?t care mode clk_a wrena data_in wraddress a1 byteena_a q _b(registered) a0 rdaddress a1 a0 aaaa bbbb cccc dddd eeee ffff aaaa dddd ddee ccbb aabb 11 01 10 11 01 10 ffee chapter 3: memory blocks in arria ii devices 3?25 design considerations december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 3?26 shows a sample functional waveform of mixed-port read-during-write behavior in old data mode. figure 3?27 shows a sample functional waveform of mixed-port read-during-write behavior for don?t care mode in m9k and m144k blocks. mixed-port read-during-write is not supported when two different clocks are used in a dual-port ram. the output value is unknown during a dual-clock mixed-port read-during-write operation. figure 3?26. m9k and m144k mixed port read during write: old data mode clk_a&b wrena rdenb address_a a0 a1 byteena 11 01 10 11 data_a aaaa bbbb cccc dddd eeee ffff q _b_(asynch) a0 (old data) a1 (old data) dddd eeee aabb aaaa address_b a0 a1 figure 3?27. m9k and m144k mixed-port read-during-write: don?t care mode clk_a&b wrena rdenb address_a a0 a1 byteena 11 01 10 11 data_a aaaa bbbb cccc dddd eeee ffff q _b_(asynch) xxxx (unknown data) address_b a0 a1 3?26 chapter 3: memory blocks in arria ii devices design considerations arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation power-up conditions and memory initialization m9k and m144k block outputs power up to zero (cleared), regardless of whether the output registers are used or bypassed. mlabs power up to zero if the output registers are used and power up reading the memory contents if the output registers are not used. you must take this into consideration when designing logic that might evaluate the initial power-up values of the mlab memory block. for arria ii devices, the quartus ii software initializes the ram cells to zero unless there is a .mif file specified. all memory blocks support initialization using a .mif . you can create .mif files in the quartus ii software and specify their use with the ram megawizard plug-in manager when instantiating a memory in your design. even if a memory is pre-initialized (for example, using a .mif ), it still powers up with its outputs cleared. f for more information about .mif files, refer to the internal memory (ram and rom) megafunction user guide and the quartus ii handbook . power management arria ii memory block clock enables allow you to control clocking of each memory block to reduce ac-power consumption. use the read-enable signal to ensure that read operations only occur when you need them to. if your design does not require read-during-write, you can reduce your power consumption by deasserting the read-enable signal during write operations or any period when no memory operations occur. the quartus ii software automatically places any unused memory block in low power mode to reduce static power. chapter 3: memory blocks in arria ii devices 3?27 document revision history december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration document revision history ta b l e 3 ?1 0 lists the revision history for this chapter. table 3?10. document revision history date version changes december 2011 3.2 3?28 chapter 3: memory blocks in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration december 2010 aiigx51004-4.0 subscribe ? 2010 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 4. dsp blocks in arria ii devices this chapter describes how the dedicated high-performance digital signal processing (dsp) blocks in arria ? december 2010 aiigx51004-4.0 4?2 chapter 4: dsp blocks in arria ii devices dsp block overview arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation dsp block overview arria ii gx devices have two to four columns of dsp blocks, while arria ii gz devices have two to seven columns of dsp blocks. these dsp blocks implement multiplication, multiply-add, multiply-accumulate (mac), and dynamic shift functions. architectural highlights of the arria ii dsp block include: chapter 4: dsp blocks in arria ii devices 4?3 dsp block overview december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 4 ?1 lists the number of dsp blocks in arria ii devices. each dsp block occupies four logic array blocks (labs) in height and you can divide further into two half blocks that share some common clocks signals, but are for all common purposes identical in functionality. figure 4?1 shows the layout of each block. table 4?1. number of dsp blocks in arria ii devices (note 1) family device dsp blocks independent input and output multiplication operators high precision multiplier adder mode four multiplier adder mode 99 multipliers 12 12 multipliers 1818 multipliers 18 18 complex 36 36 multipliers 18 36 multipliers 18 18 multipliers arria ii gx ep2agx45 29 232 174 116 58 58 116 232 ep2agx65 39 312 234 156 78 78 156 312 ep2agx95 56 448 336 224 112 112 224 448 EP2AGX125 72 576 432 288 144 144 288 576 ep2agx190 82 656 492 328 164 164 328 656 ep2agx260 92 736 552 368 184 184 368 736 arria ii gz ep2agz225 100 800 600 400 200 200 400 800 ep2agz300 115 920 690 460 230 230 460 920 ep2agz350 130 1,040 780 520 260 260 520 1,040 note to table 4?1 : (1) the numbers in this table represents the numbers of multipliers in their respective mode. figure 4?1. overview of dsp block signals 34 144 144 2 88 72 72 half-dsp block half-dsp block o u tp u t data o u tp u t data f u ll-dsp block control inp u t data 4?4 chapter 4: dsp blocks in arria ii devices simplified dsp operation arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation simplified dsp operation in arria ii devices, the fundamental building block is a pair of 18 18-bit multipliers followed by a first-stage 37-bit addition and subtraction unit shown in equation 4?1 and figure 4?2 . for all signed numbers, input and output data is represented in 2?s-complement format only. the structure shown in figure 4?2 is useful for building more complex structures, such as complex multipliers and 36 36 multipliers, as described in later sections. each arria ii dsp block contains four two-multiplier adder units (2 two-multiplier adder units per half block). therefore, there are eight 18 18 multiplier functionalities per dsp block. for a detailed diagram of the dsp block, refer to figure 4?5 on page 4?8 . following the two-multiplier adder units are the pipeline registers, the second-stage adders, and an output register stage. you can configure the second-stage adders to provide the alternative functions shown in equation 4?1 and equation 4?2 per half block. equation 4?1. multiplier equation p[36..0] = a 0 [17..0] b 0 [17..0] a 1 [17..0] b 1 [17..0] figure 4?2. basic two-multiplier adder building block dq dq a0[17..0] a1[17..0] b1[17..0] b0[17..0] p[36..0] +/- equation 4?2. four-multiplier adder equation z[37..0] = p 0 [36..0] + p 1 [36..0] chapter 4: dsp blocks in arria ii devices 4?5 simplified dsp operation december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration in these equations, n denotes sample time and p[36..0] are the results from the two-multiplier adder units. equation 4?2 provides a sum of four 18 18-bit multiplication operations (four-multiplier adder), and equation 4?3 provides a four 18 18-bit multiplication operation, but with a maximum of a 44-bit accumulation capability by feeding the output from the output register bank back to the adder/accumulator block, as shown in figure 4?3 . you can bypass all register stages depending on which mode you select, except accumulation and loopback mode. in these two modes, you must enable at least one set of the registers. if the register is not enabled, an infinite loop occurs. to support fir-like structures efficiently, a major addition to the dsp block in arria ii devices is the ability to propagate the result of one half block to the next half block completely in the dsp block without additional soft logic overhead. this is achieved by the inclusion of a dedicated addition unit and routing that adds the 44-bit result of a previous half block with the 44-bit result of the current block. the 44-bit result is either fed to the next half block or out of the dsp block with the output register stage shown in figure 4?4 . detailed examples are described in later sections. equation 4?3. four-multiplier adder equation (44-bit accumulation) w n [43..0] = w n-1 [43..0] z n [37..0] figure 4?3. four-multiplier adder and accumulat ion capability + + 144 44 inp u t data inp u t register bank adder/ acc u m u lator o u tp u t register bank half-dsp block res u lt[] pipeline register bank 4?6 chapter 4: dsp blocks in arria ii devices simplified dsp operation arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation the combination of a fast, low-latency four-multiplier adder unit and the ?chained cascade? capability of the output chaining adder provides the optimal fir and vector multiplication capability. to support single-channel type fir filters efficiently, you can configure one of the multiplier input registers to form a tap delay line input, saving resources and providing higher system performance. figure 4?4 shows the optional rounding and saturation unit. this unit provides a set of commonly found arithmetic rounding and saturation functions in signal processing. in addition to the independent multipliers and sum modes, you can use dsp blocks to perform shift operations. dsp blocks can dynamically switch between logical shift left/right, arithmetic shift left/right, and rotation operation in one clock cycle. figure 4?4. output cascading feature for fir structures + 144 44 44 from pre v io u s half-dsp block to n ext half-dsp block inp u t data inp u t register bank pipeline register bank adder/ acc u m u lator ro u nd/sat u rate o u tp u t register bank 44 half-dsp block res u lt[] + + chapter 4: dsp blocks in arria ii devices 4?7 operational modes overview december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration operational modes overview you can use each arria ii dsp block in one of six basic operational modes. table 4?2 lists the six basic operational modes and the number of multipliers that you can implement in a single dsp block. the dsp block consists of two identical halves (top-half and bottom-half). each half has four 18 18 multipliers. the quartus ? ii software includes megafunctions that control the mode of operation of the multipliers. after making the appropriate parameter settings with the megafunction?s megawizard ? clock , ena , and the aclr signals. for example, you can break down a single dsp block to operate a 9 9 multiplier in one half block and an 18 18 two-multiplier adder in the other half block. this increases dsp block resource efficiency and allows you to implement more multipliers in an arria ii device. the quartus ii software automatically places multipliers that can share the same dsp block resources in the same block. table 4?2. dsp block operational modes for arria ii devices mode multiplier in width number of multiplier # per block signed or unsigned rnd, sat in shift register chainout adder 1st stage add/sub 2nd stage add/acc independent multiplier 9 bits 1 8 both no no no ? ? 12 bits 1 6 both no no no ? ? 18 bits 1 4 both yes yes no ? ? 36 bits 1 2 both no no no ? ? double 1 2 both no no no ? ? two-multiplier adder (1) 18 bits 2 4 signed (2) yes no no both ? four-multiplier adder 18 bits 4 2 both yes yes yes both add only multiply accumulate 18 bits 4 2 both yes yes yes both both shift (3) 36 bits (4) 1 2 both no no ? ? ? high precision multiplier adder 18 ? notes to table 4?2 : (1) this mode also supports loopback mode. in loopback mode, the number of loopback multipliers per dsp block is two. you can us e the remaining multipliers in regular two-multiplier adder mode. (2) unsigned value is also supported, but you must ensure that the result can be contained in 36 bits. (3) dynamic shift mode supports arithmetic shift left, arithmetic shift right, logical shift left, logical shift right, and rota tion operation. (4) dynamic shift mode operates on a 32-bit input vector, but the multiplier width is configured as 36 bits. 4?8 chapter 4: dsp blocks in arria ii devices dsp block resource descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation dsp block resource descriptions the dsp block consists of the following elements: notes to figure 4?5 : (1) block output for accumulator overflow and saturate overflow. (2) block output for saturation overflow of chainout . (3) when the chainout adder is not in use, the second adder register banks are known as output register banks. (4) you must connect the chainin port to the chainout port of the previous dsp blocks; it must not be connected to general routings. chainin[ ] scanina[ ] dataa_0[ ] data b _0[ ] dataa_1[ ] data b _1[ ] dataa_2[ ] data b _2[ ] dataa_3[ ] scano u ta chaino u t data b _3[ ] inp u t register bank first stage adder first stage adder pipeline register bank second stage adder/acc u m u lator first ro u nd/sat u rate second adder register bank chaino u t adder second ro u nd/sat u rate o u tp u t register bank shift/rotate res u lt[ ] clock[3..0] ena[3..0] alcr[3..0] zero_loop b ack acc u m_sload zero_chaino u t chaino u t_ro u nd chaino u t_sat u rate signa sign b o u tp u t_ro u nd o u tp u t_sat u rate rotate shift_right o v erflo w (1) chaino u t_sat_o v erflo w (2 ) half-dsp block loopback m u ltiplexer (3) (4) chapter 4: dsp blocks in arria ii devices 4?9 dsp block resource descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration input registers figure 4?6 shows the input register of a half-dsp block. figure 4?6. input register of half-dsp block (note 1) note to figure 4?6 : (1) the scanina signal originates from the previous dsp block, while the scanouta signal goes to the next dsp block. +/- +/- signa sign b clock[3..0] ena[3..0] aclr[3..0] scanina[17..0] dataa_0[17..0] loop b ack data b _0[17..0] dataa_1[17..0] data b _1[17..0] dataa_2[17..0] data b _2[17..0] dataa_3[17..0] data b _3[17..0] scano u ta delay register 4?10 chapter 4: dsp blocks in arria ii devices dsp block resource descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation all dsp block registers are triggered by the positive edge of the clock signal and are cleared after power up. each multiplier operand can feed an input register or feed directly to the multiplier, bypassing the input registers. the clock[3..0] , ena[3..0] , and aclr[3..0] dsp block signals control the input registers in the dsp block. every dsp block has nine 18-bit data input register banks per half-dsp block. every half-dsp block has the option to use the eight data register banks as inputs to the four multipliers. the special ninth register bank is a delay register required by modes that use both the cascade and chainout features of the dsp block to balance the latency requirements when using the chained cascade feature. a feature of the input register bank is to support a tap delay line. therefore, you can drive the top leg of the multiplier input (a) from general routing or from the cascade chain, as shown in figure 4?6 . at compile time, you must select the incoming data for multiplier input (a) from either general routing or from the cascade chain. in cascade mode, the dedicated shift outputs from one multiplier block directly feeds input registers of the adjacent multiplier below it (in the same half-dsp block) or the first multiplier in the next half-dsp block, to form an 8-tap shift register chain per dsp block. the dsp block can increase the length of the shift register chain by cascading to the lower dsp blocks. the dedicated shift register chain spans a single column, but you can implement longer shift register chains requiring multiple columns with the regular fpga routing resources. shift registers are useful in dsp functions such as fir filters. when implementing an 18 18 or smaller width multiplier, you do not require external logic to create the shift register chain because the input shift registers are internal to the dsp block. this implementation significantly reduces the logical element (le) resources required, avoids routing congestion, and results in predictable timing. the first multiplier in every half-dsp block (top- and bottom-half) has a multiplexer for the first multiplier b-input (lower-leg input) register to select between general routing and loopback, as shown in figure 4?5 on page 4?8 . in loopback mode, the most significant 18-bit registered outputs are connected as feedback to the multiplier input of the first top multiplier in each half-dsp block. loopback modes are used by recursive filters where the previous output is required to compute the current output. loopback mode is described in detail in ?two-multiplier adder sum mode? on page 4?20 . ta b l e 4 ?3 lists the summary of input register modes for the dsp block. table 4?3. input register modes for arria ii devices register input mode (1) 99 1212 1818 3636 double parallel input vvvvv shift register input (2) ?? v ?? loopback input (3) ?? v ?? notes to table 4?3 : (1) the multiplier operand input word lengths are statically configured at compile time. (2) available only on the a-operand. (3) only one loopback input is allowed per half block. for details, refer to figure 4?14 on page 4?21 . chapter 4: dsp blocks in arria ii devices 4?11 dsp block resource descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration multiplier and first-stage adder the multiplier stage supports 9 9, 12 12, 18 18, or 36 36 multipliers. other word lengths are padded up to the nearest appropriate native wordlength; for example, 16 16 is padded up to use 18 18. for more information, refer to ?independent multiplier modes? on page 4?14 . depending on the data width of the multiplier, a single dsp block can perform many multiplications in parallel. each multiplier operand can be a unique signed or unsigned number. two dynamic signals, signa and signb , control the representation of each operand, respectively. a logic 1 value on the signa/signb signal indicates that data a/data b is a signed number; a logic 0 value indicates an unsigned number. ta b l e 4 ?4 lists the sign of the multiplication result for the various operand sign representations. if any one of the operands is a signed value, the result of the multiplication is signed. each half block has its own signa and signb signal. therefore, all data a inputs feeding the same half-dsp block must have the same sign representation. similarly, all data b inputs feeding the same half-dsp block must have the same sign representation. the multiplier offers full precision regardless of the sign representation in all operational modes except for full precision 18 18 loopback and two-multiplier adder modes. for more information, refer to ?two-multiplier adder sum mode? on page 4?20 . 1 by default, when the signa and signb signals are unused, the quartus ii software sets the multiplier to perform unsigned multiplication. figure 4?5 on page 4?8 shows that the outputs of the multipliers are the only outputs that can feed into the first-stage adder. there are four first-stage adders in a dsp block (two adders per half-dsp block). the first-stage adder block has the ability to perform addition and subtraction. the control signal for addition or subtraction is static and you must configure after compilation. the first-stage adders are used by the sum modes to compute the sum of two multipliers, 18 18-complex multipliers, and to perform the first stage of a 36 36 multiply and shift operation. depending on your specifications, the output of the first-stage adder has the option to feed into the pipeline registers, second-stage adder, rounding and saturation unit, or the output registers. table 4?4. multiplier sign representation for arria ii devices data a (signa value) data b (signb value) result unsigned (logic 0) unsigned (logic 0) unsigned unsigned (logic 0) signed (logic 1) signed signed (logic 1) unsigned (logic 0) signed signed (logic 1) signed (logic 1) signed 4?12 chapter 4: dsp blocks in arria ii devices dsp block resource descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation pipeline register stage figure 4?5 on page 4?8 shows that the output from the first-stage adder can either feed or bypass the pipeline registers. pipeline registers increase the maximum performance (at the expense of extra cycles of latency) of the dsp block, especially when using the subsequent dsp block stages. pipeline registers split up the long signal path between the input-registers/multiplier/first-stage adder and the second-stage adder/round-and-saturation/output-registers, creating two shorter paths. second-stage adder there are four individual 44-bit second-stage adders per dsp block (two adders per half-dsp block). you can configure the second-stage adders as either: 1 you can use the chained-output adder at the same time as a second-level adder in chained output summation mode. the output of the second-stage adder has the option to go into the rounding and saturation logic unit or the output register. 1 you cannot use the second-stage adder independently from the multiplier and first-stage adder. rounding and saturation stage rounding and saturation logic units are located at the output of the 44-bit second-stage adder (the rounding logic unit followed by the saturation logic unit). there are two rounding and saturation logic units per half-dsp block. the input to the rounding and saturation logic unit can come from one of the following stages: logic 1 value on the round signal, saturate signal, or both enables the round logic unit, saturate logic unit, or both. chapter 4: dsp blocks in arria ii devices 4?13 dsp block resource descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 you can use the rounding and saturation logic units together or independently. second adder and output registers the second adder register and output register banks are two banks of 44-bit registers that you can combine to form larger 72-bit banks to support 36 36 output results. the outputs of the different stages in the arria ii devices are routed to the output registers through an output selection unit. depending on the operational mode of the dsp block, the output selection unit selects whether the outputs of the dsp blocks come from the outputs of the multiplier block, first-stage adder, pipeline registers, second-stage adder, or the rounding and saturation logic unit. based on the dsp block operational mode you specify, the output selection unit is automatically set by the software, and has the option to either drive or bypass the output registers. the exception is when the block is used in shift mode, where you dynamically control the output-select multiplexer directly. when the dsp block is configured in chained cascaded output mode, both of the second-stage adders are used. the first adder is for performing a four-multiplier adder and the second is for the chainout adder. the outputs of the four-multiplier adder are routed to the second-stage adder registers before enters the chainout adder. the output of the chainout adder goes to the regular output register bank. depending on the configuration, you can route the chainout results to the input of the next half block?s chainout adder input or to the general fabric (functioning as regular output registers). you can only connect the chainin port to the chainout port of the previous dsp block and must not be connected to general routings. the second-stage and output registers are triggered by the positive edge of the clock signal and are cleared on power up. the clock[3..0] , ena[3..0] , and aclr[3..0] dsp block signals control the output registers in the dsp block. 4?14 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation arria ii operational mode descriptions this section describes the operation modes of arria ii devices. independent multiplier modes in the independent input and output multiplier mode, the dsp block performs individual multiplication operations for general-purpose multipliers. 9-bit, 12-bit, and 18-bit multiplier you can configure each dsp block multiplier for 9-bit, 12-bit, or 18-bit multiplication. a single dsp block can support up to eight individual 9 9 multipliers, six 12 12 multipliers, or up to four individual 18 18 multipliers. for operand widths up to 9 bits, a 9 9 multiplier is implemented. for operand widths from 10 to 12 bits, a 12 12 multiplier is implemented and for operand widths from 13 to 18 bits, an 18 18 multiplier is implemented. this is done by the quartus ii software by zero padding the lsbs. figure 4?7 , figure 4?8 , and figure 4?9 show the dsp block in the independent multiplier operation mode. table 4?9 on page 4?30 lists the dsp block dynamic signals. figure 4?7. 18-bit independent multiplier mode shown for half-dsp block note to figure 4?7 : (1) block output for accumulator overflow and saturate overflow. clock[3..0] ena[3..0] aclr[3..0] signa sign b o u tp u t_ro u nd o u tp u t_sat u rate o v erflo w (1) 36 36 dataa_0[17..0] data b _0[17..0] dataa_1[17..0] data b _1[17..0] half-dsp block inp u t register bank pipeline register bank ro u nd/sat u rate ro u nd/sat u rate o u tp u t register bank 1 8 1 8 1 8 1 8 res u lt_0[ ] res u lt_1[ ] chapter 4: dsp blocks in arria ii devices 4?15 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 4?8. 12-bit independent multiplier mode shown for half-dsp block 24 12 12 12 12 12 12 24 24 inp u t register bank pipeline register bank o u tp u t register bank clock[3..0] ena[3..0] aclr[3..0] signa sign b half-dsp block dataa_0[11..0] data b _0[11..0] dataa_1[11..0] data b _1[11..0] dataa_2[11..0] data b _2[11..0] res u lt_0[ ] res u lt_1[ ] res u lt_2[ ] 4?16 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation the multiplier operands can accept signed integers, unsigned integers, or a combination of both. you can change the signa and signb signals dynamically and register these signals in the dsp block. additionally, you can register the multiplier inputs and results independently. you can use the pipeline registers in the dsp block to pipeline the multiplier result, increasing the performance of the dsp block. 1 the rounding and saturation logic unit is supported for 18-bit independent multiplier mode only. figure 4?9. 9-bit independent multiplier mode shown for half-dsp block 1 8 9 9 9 9 1 8 9 9 1 8 9 9 1 8 inp u t register bank pipeline register bank o u tp u t register bank dataa_0[ 8 ..0] data b _0[ 8 ..0] dataa_1[ 8 ..0] data b _1[ 8 ..0] dataa_2[ 8 ..0] data b _2[ 8 ..0] dataa_3[ 8 ..0] data b _3[ 8 ..0] half-dsp block clock[3..0] ena[3..0] aclr[3..0] signa sign b res u lt_0[ ] res u lt_1[ ] res u lt_2[ ] res u lt_3[ ] chapter 4: dsp blocks in arria ii devices 4?17 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration 36-bit multiplier you can construct a 36 36 multiplier with four 18 18 multipliers. this simplification fits into one half-dsp block and is implemented in the dsp block automatically by selecting 36 36 mode. arria ii devices can have up to two 36-bit multipliers per dsp block (one 36-bit multiplier per half dsp block). the 36-bit multiplier is also under the independent multiplier mode but uses the entire half-dsp block, including the dedicated hardware logic after the pipeline registers to implement the 36 36-bit multiplication operation, as shown in figure 4?10 . the 36-bit multiplier is useful for applications requiring more than 18-bit precision; for example, for the mantissa multiplication portion of single precision and extended single precision floating-point arithmetic applications. double multiplier you can configure the arria ii dsp block to support an unsigned 54 54-bit multiplier that is required to compute the mantissa portion of an ieee double precision floating point multiplication. you can build a 54 54-bit multiplier with basic 18 18 multipliers, shifters, and adders. to efficiently use built-in shifters and adders in the arria ii dsp block, a special double mode (partial 54 54 multiplier) is available that figure 4?10. 36-bit independent multiplier mode shown for half-dsp block pipeline register bank input register bank output register bank half-dsp block dataa_0[35..18] datab_0[35..18] dataa_0[17..0] datab_0[35..18] dataa_0[35..18] datab_0[17..0] dataa_0[17..0] datab_0[17..0] 72 clock[3..0] ena[3..0] aclr[3..0] signa signb + + + result[ ] 4?18 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation is a slight modification to the basic 36 36 multiplier mode, as shown in figure 4?11 and figure 4?12 . figure 4?11. double mode shown for a half dsp block pipeline register bank input register bank output register bank half-dsp block dataa_0[35..18] datab_0[35..18] dataa_0[17..0] datab_0[35..18] dataa_0[35..18] datab_0[17..0] dataa_0[17..0] datab_0[17..0] 72 clock[3..0] ena[3..0] aclr[3..0] signa signb + + + result[ ] chapter 4: dsp blocks in arria ii devices 4?19 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 4?12. unsigned 54 54-bit multiplier double mode + two multiplier adder mode 36 final adder (implemented with alut logic) 55 72 108 result[ ] unsigned 54 54 multiplier "0" "0" dataa[53..36] dataa[53..36] dataa[53..36] datab[53..36] dataa[35..18] datab[53..36] dataa[17..0] datab[53..36] datab[35..18] datab[17..0] clock[3..0] ena[3..0] aclr[3..0] signa signb dataa[35..18] dataa[35..18] datab[35..18] datab[17..0] datab[17..0] dataa[17..0] datab[35..18] dataa[17..0] shifters and adders shifters and adders 36 36 mode 4?20 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation two-multiplier adder sum mode in the two-multiplier adder configuration, the dsp block can implement four 18-bit two-multiplier adders (2 two-multiplier adders per half-dsp block). you can configure the adders to take the sum or difference of two multiplier outputs. summation or subtraction must be selected at compile time. the two-multiplier adder function is useful for applications such as ffts, complex fir, and iir filters. figure 4?13 shows the dsp block configured in the two-multiplier adder mode. the loopback mode is a sub-feature of the two-multiplier adder mode. figure 4?14 shows the dsp block configured in the loopback mode. this mode takes the 36-bit summation result of the two multipliers and feeds back the most significant 18-bits to the input. the lower 18-bits are discarded. you have the option to disable or zero-out the loopback data with the dynamic zero_loopback signal. a logic 1 value on the zero_loopback signal selects the zeroed data or disables the looped back data, and a logic 0 selects the looped back data. figure 4?13. two-multiplier adder mode shown for half-dsp block (note 1) notes to figure 4?13 : (1) in a half-dsp block, you can implement 2 two-multiplier adders. (2) block output for accumulator overflow and saturate overflow. inp u t register bank pipeline register bank ro u nd/sat u rate o u tp u t register bank clock[3..0] ena[3..0] aclr[3..0] signa sign b o u tp u t_ro u nd o u tp u t_sat u rate o v erflo w (2) res u lt[ ] + dataa_0[17..0] data b _0[17..0] dataa_1[17..0] data b _1[17..0] half-dsp block chapter 4: dsp blocks in arria ii devices 4?21 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 at compile time, you must select the option to use the loopback mode or the general two-multiplier adder mode. if all the inputs are full 18 bits and unsigned, the result requires 37 bits for two-muliplier adder mode. because the output data width in two-multiplier adder mode is limited to 36 bits, this 37-bit output requirement is not allowed. any other combination that does not violate the 36-bit maximum result is permitted; for example, two 16 16 signed two-multiplier adders is valid. 1 two-multiplier adder mode supports the rounding and saturation logic unit. you can use pipeline registers and output registers in the dsp block to pipeline the multiplier-adder result, increasing the performance of the dsp block. figure 4?14. loopback mode for half-dsp block note to figure 4?14 : (1) block output for accumulator overflow and saturate overflow. inp u t register bank pipeline register bank ro u nd/sat u rate o u tp u t register bank dataa_0[17..0] data b _0[17..0] dataa_1[17..0] data b _1[17..0] zero_loop b ack clock[3..0] ena[3..0] aclr[3..0] signa sign b o u tp u t_ro u nd o u tp u t_sat u rate o v erflo w (1) res u lt[ ] + loop b ack half-dsp block 4?22 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation 18 18 complex multiplier you can configure the dsp block to implement complex multipliers with the two-multiplier adder mode. a single half-dsp block can implement one 18-bit complex multiplier. equation 4?4 shows how you can write a complex multiplication. to implement this complex multiplication in the dsp block, the real part [(a c) ? (b d)] is implemented with two multipliers feeding one subtractor block, and the imaginary part [(a d) + (b c)] is implemented with another two multipliers feeding an adder block. this mode automatically assumes all inputs are using signed numbers. figure 4?15 shows an 18-bit complex multiplication. this mode automatically assumes all inputs are using signed numbers. equation 4?4. complex multiplication equation (a + jb) (c + jd) = [(a c) ? (b d)] + j[(a d) + (b c)] figure 4?15. complex multiplier using two-multiplier adder mode inp u t register bank pipeline register bank o u tp u t register bank ( a c ) - ( b d ) ( real part ) ( a d ) + ( b c ) ( imaginary part ) + - clock[3..0] ena[3..0] aclr[3..0] signa sign b a c b d half-dsp block 36 36 chapter 4: dsp blocks in arria ii devices 4?23 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration four-multiplier adder in the four-multiplier adder configuration shown in figure 4?16 , the dsp block can implement 2 four-multiplier adders (1 four-multiplier adder per half-dsp block). these modes are useful for implementing one-dimensional and two-dimensional filtering applications. the four-multiplier adder is performed in two addition stages. the outputs of two of the four multipliers are initially summed in the two first-stage adder blocks. the results of these two adder blocks are then summed in the second-stage adder block to produce the final four-multiplier adder result, as shown in equation 4?2 on page 4?4 and equation 4?3 on page 4?5 . four-multiplier adder mode supports the rounding and saturation logic unit. you can use the pipeline registers and output registers within the dsp block to pipeline the multiplier-adder result, increasing the performance of the dsp block. figure 4?16. four-multiplier adder mode shown for half-dsp block note to figure 4?16 : (1) block output for accumulator overflow and saturate overflow. clock[3..0] ena[3..0] aclr[3..0] signa sign b o u tp u t_ro u nd o u tp u t_sat u rate o v erflo w (1) inp u t register bank pipeline register bank ro u nd/sat u rate o u tp u t register bank dataa_0[ ] data b _0[ ] dataa_1[ ] data b _1[ ] dataa_2[ ] data b _2[ ] dataa_3[ ] data b _3[ ] half-dsp block + + + res u lt[ ] 4?24 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation high-precision multiplier adder mode in the high-precision multiplier adder, the dsp block can implement 2 two-multiplier adders, with a multiplier precision of 18 36 (one two-multiplier adder per half-dsp block). this mode is useful in filtering or fft applications where a datapath greater than 18 bits is required, yet 18 bits is sufficient for coefficient precision. this can occur if data has a high dynamic range. if the coefficients are fixed, as in fft and most filter applications, the precision of 18 bits provides a dynamic range over 100 db, if the largest coefficient is normalized to the maximum 18-bit representation. in these situations, the datapath can be up to 36 bits, allowing sufficient capacity for bit growth or gain changes in the signal source without loss of precision, which is useful in single precision block floating point applications. figure 4?17 shows the high-precision multiplier is performed in two stages. the sum of the results of the two adders produce the final result: z[54..0] = p 0 [53..0] + p 1 [53..0] where p 0 = a[17..0] b[35..0] and p 1 = c[17..0] d[35..0] figure 4?17. high-precision multiplier adder configuration for half-dsp block note to figure 4?17 : (1) block output for accumulator overflow and saturate overflow. clock[3..0] ena[3..0] aclr[3..0] signa sign b o v erflo w (1) inp u t register bank pipeline register bank o u tp u t register bank dataa[0:17] p 0 p 1 dataa[0:17] datac[0:17] datac[0:17] datad[0:17] datad[1 8 :35] datab[0:17] <<1 8 <<1 8 datab[1 8 :35] half-dsp block + + res u lt[ ] + chapter 4: dsp blocks in arria ii devices 4?25 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration multiply accumulate mode in multiply accumulate mode, the second-stage adder is configured as a 44-bit accumulator or subtractor. the output of the dsp block is looped back to the second-stage adder and added or subtracted with the two outputs of the first-stage adder block according to equation 4?3 on page 4?5 . figure 4?18 shows the dsp block configured to operate in multiply accumulate mode. a single dsp block can implement up to two independent 44-bit accumulators. use the dynamic accum_sload control signal to clear the accumulation. a logic 1 value on the accum_sload signal synchronously loads the accumulator with the multiplier result only, and a logic 0 enables accumulation by adding or subtracting the output of the dsp block (accumulator feedback) to the output of the multiplier and first-stage adder. figure 4?18. multiply accumulate mode shown for half-dsp block note to figure 4?18 : (1) block output for saturation overflow of chainout. clock[3..0] ena[3..0] aclr[3..0] signa sign b o u tp u t_ro u nd o u tp u t_sat u rate chaino u t_sat_o v erflo w (1) inp u t register bank pipeline register bank ro u nd/sat u rate o u tp u t register bank dataa_0[ ] data b _0[ ] dataa_1[ ] data b _1[ ] dataa_2[ ] data b _2[ ] dataa_3[ ] data b _3[ ] half-dsp block + + + res u lt[ ] acc u m_sload 44 second register bank 4?26 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation 1 the control signal for the accumulator and subtractor is static and therefore you can configure it at compilation. the multiply accumulate mode supports the rounding and saturation logic unit because it is configured as an 18-bit multiplier accumulator. you can use the pipeline registers and output registers within the dsp block to increase the performance of the dsp block. shift modes arria ii devices support the following shift modes for 32-bit input only: asl[n] asr[32-n] lsl[n] lsr[32-n] rot[n] 1 you can switch the shift mode between these modes with the dynamic rotate and shift control signals. you can easily use the shift mode in an arria ii device with a soft embedded processor such as the nios ? ii processor to perform the dynamic shift and rotate operation. shift mode makes use of the available multipliers to logically or arithmetically shift left, right, or rotate the desired 32-bit data. the dsp block is configured like the independent 36-bit multiplier mode to perform the shift mode operations. arithmetic shift right requires a signed input vector. during arithmetic shift right, the sign is extended to fill the msb of the 32-bit vector. the logical shift right uses an unsigned input vector. during logical shift right, zeros are padded in the most significant bits shifting the 32-bit vector to the right. the barrel shifter uses an unsigned input vector and implements a rotation function on a 32-bit word length. two control signals, rotate and shift_right , together with the signa and signb signals, determine the shifting operation. chapter 4: dsp blocks in arria ii devices 4?27 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 4?19 shows the shift mode configuration. ta b l e 4 ?5 lists examples of shift operations. figure 4?19. shift operation mode shown for half-dsp block clock[3..0] ena[3..0] aclr[3..0] signa sign b rotate shift_right inp u t register bank pipeline register bank o u tp u t register bank dataa_0[35..1 8 ] data b _0[35..1 8 ] dataa_0[17..0] data b _0[35..1 8 ] dataa_0[35..1 8 ] data b _0[17..0] dataa_0[17..0] data b _0[17..0] half-dsp block + + + res u lt[ ] 32 shift/rotate table 4?5. examples of shift operations example signa signb shift rotate a-input b-input result logical shift left lsl[n] unsigned unsigned 0 0 0aabbccdd 00000100 0bbccdd00 logical shift right lsr[32-n] unsigned unsigned 1 0 0aabbccdd 000001 00 0000000aa arithmetic shift left asl[n] signed unsigned 0 0 0aabbccdd 00000100 0bbccdd00 arithmetic shift right asr[32-n] signed unsigned 1 0 0aabbccdd 00000100 0ffffffaa rotation rot[n] unsigned unsigned 0 1 0aabbccdd 00000100 0bbccddaa 4?28 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation rounding and saturation mode rounding and saturation functions are often required in dsp arithmetic. rounding is to limit bit growth and its side effects; saturation is to reduce overflow and underflow side effects. two rounding modes are supported in arria ii devices: ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? chapter 4: dsp blocks in arria ii devices 4?29 arria ii operational mode descriptions december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration two saturation modes are supported in arria ii devices: [43:0] ) for the rounding and saturate logic unit, providing higher flexibility. you must select the 16 configurable bit positions at compile time. these 16-bit positions are located at bits [21:6] for rounding and [43:28] for saturation, as shown in figure 4?20 . 1 for symmetric saturation, the rnd bit position is to determine where the lsp for the saturated data is located. you can use the rounding and saturation function as described in regular supported multiplication operations shown in table 4?2 on page 4?7 . however, for accumulation type operations, the following convention is used. the functionality of the rounding logic unit is in the format of: result = rnd[ 43 42 29 2 8 1 0 43 42 21 20 7 6 0 16 user defined sat positions ( b it 43-2 8 ) 16 user defined r n d positions ( b it 21-6) 4?30 chapter 4: dsp blocks in arria ii devices arria ii operational mode descriptions arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation if both the rounding and saturation logic units are used for an accumulation type of operation, the format is: result = sat[rnd[ signa signb signed/unsigned control for all multipliers and adders. signa for ?multiplicand? input bus to dataa[17:0] each multiplier. signb for ?multiplier? input bus datab[17:0] to each multiplier. signa = 1, signb = 1 for signed-signed multiplication signa = 1, signb = 0 for signed-unsigned multiplication signa = 0, signb = 1 for unsigned-signed multiplication signa = 0, signb = 0 for unsigned-unsigned multiplication 2 output_round round control for first stage round/saturation block. output_round = 1 for rounding on multiply output output_round = 0 for normal multiply output 1 chainout_round round control for second stage round/saturation block. chainout_round = 1 for rounding on multiply output chainout_round = 0 for normal multiply output 1 output_saturate saturation control for first stage round/saturation block for q-format multiply. if both rounding and saturation is enabled, saturation is done on the rounded result. output_saturate = 1 for saturation support output_saturate = 0 for no saturation support 1 chainout_saturate saturation control for second stage round/saturation block for q-format multiply. if both rounding and saturation is enabled, saturation is done on the rounded result. chainout_saturate = 1 for saturation support chainout_saturate = 0 for no saturation support 1 accum_sload dynamically specifies whether the accumulator value is zero. accum_sload = 0, accumulation input is from the output registers accum_sload = 1, accumulation input is set to be zero 1 zero_chainout dynamically specifies whether the chainout value is zero. 1 zero_loopback dynamically specifies whether the loopback value is zero. 1 rotate rotation = 1, rotation feature is enabled 1 chapter 4: dsp blocks in arria ii devices 4?31 software support for arria ii devices december 2010 altera corporation arria ii device handbook volume 1: device interfaces and integration software support for arria ii devices altera provides two distinct methods for implementing various modes of the dsp block in a design: instantiation and inference. both methods use the following quartus ii megafunctions: f for instructions about using the megafunctions and the megawizard plug-in manager, refer to the quartus ii software help. f for more information, refer to section iii: synthesis in volume 1 of the quartus ii handbook . shift_right shift_right = 1, shift right feature is enabled 1 dsp block dynamic signals per full-dsp block clock0 clock1 clock2 clock3 dsp-block-wide clock signals 4 ena0 ena1 ena2 ena3 input and pipeline register enable signals 4 aclr0 aclr1 aclr2 aclr3 dsp block-wide asynchronous clear signals (active low) 4 total count per half- and full-dsp blocks 33 table 4?9. dsp block dynamic signals for dsp block in arria ii devices (part 2 of 2) signal name function count 4?32 chapter 4: dsp blocks in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration december 2010 altera corporation document revision history ta b l e 4 ?1 0 shows the revision history for this document. table 4?10. document revision history date version changes december 2010 4.0 arria ii device handbook volume 1: device interfaces and integration july 2012 aiigx51005-4.2 subscribe ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 5. clock networks and plls in arria ii devices this chapter describes the hierarchical clock networks and phase-locked loops (plls) which have advanced features in arria ? ii devices that provide dedicated global clock networks (gclks), regional clock networks (rclks), and periphery clock networks (pclks). this chapter also includes details reconfiguring the pll counter clock frequency and phase shift in real time, allowing you to sweep pll output frequencies and dynamically adjust the output clock phase shift. this chapter contains the following sections: july 2012 aiigx51005-4.2 5?2 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 5 ?1 lists the clock resources available in arria ii devices. arria ii gx devices have up to 12 dedicated single-ended clock pins or six dedicated differential clock pins ( diffclk_[0..5]p and diffclk_[0..5]n ) that can drive either the gclk or rclk networks. these clock pins are arranged on the three sides (top, bottom, and right sides) of the arria ii gx device, as shown in figure 5?1 on page 5?4 and figure 5?3 on page 5?6 . arria ii gz devices have up to 32 dedicated single-ended clock pins or 16 dedicated differential clock pins ( clk[0..15]p and clk[0..15]n ) that can drive either the gclk or rclk networks. these clock pins are arranged on the four sides of the arria ii gz device, as shown in figure 5?2 on page 5?5 and figure 5?4 on page 5?6 . table 5?1. clock resources in arria ii devices clock resource and device number of resources available source of clock resource arria ii gx arria ii gz arria ii gx arria ii gz clock input pins 12 single-ended (6 differential) 32 single-ended (16 differential) clk[4..15] , diffclk_[0..5]p/n pins clk[0..15]p and clk[0..15] n pins gclk networks 16 16 clk[4..15] pins, pll clock outputs, programmable logic device (pld)-transceiver interface clocks, and logic array clk[0..15]p and clk[0..15]n pins, pll clock outputs, and logic array rclk networks 48 64/88 (1) clk[4..15] pins, pll clock outputs, pld-transceiver interface clocks, and logic array clk[0..15]p and clk[0..15]n pins, pll clock outputs, and logic array pclk networks 84 (24 per device quadrant) (2) 88 (22 per device quadrant) dynamic phase alignment (dpa) clock outputs, pld-transceiver interface clocks, horizontal i/o pins, and logic array dpa clock outputs, pld-transceiver interface clocks, horizontal i/o pins, and logic array gclks/rclks per quadrant 28 32/38 (3) 16 gclks + 12 rclks 16 gclks + 16 rclks 16 gclks + 22 rclks gclks/rclks per device 64 80/104 (4) 16 gclks + 48 rclks 16 gclks + 64 rclks 16 gclks + 88 rclks notes to table 5?1 : (1) there are 64 rclks in the ep2agz225 devices. there are 88 rclks in the ep2agz300 and ep2agz350 devices. (2) there are 50 pclks in ep2agx45 and ep2agx65 devices, where 18 are on the left side and 32 on the right side. there are 59 pc lks in ep2agx95 and EP2AGX125 device, where 27 are on the left side and 32 on the right side. there are 84 pclks in ep2agx190 and ep2a gx260 devices, where 36 are on the left side and 48 on the right side. (3) there are 32 gclks/rclks per quadrant in the ep2agz225 devices. there are 38 gclks/rclks per quadrant in the ep2agz300 and ep2agz350 devices. (4) there are 80 gclks/rclks per entire device in the ep2agz225 devices. there are 104 gclks/rclks per entire device in the ep2a gz300 and ep2agz350 devices. chapter 5: clock networks and plls in arria ii devices 5?3 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration global clock networks arria ii devices provide up to 16 gclks that can drive throughout the device, serving as low-skew clock sources for functional blocks such as adaptive logic modules (alms), digital signal processing (dsp) blocks, embedded memory blocks, and plls. arria ii i/o elements (ioes) and internal logic can drive gclks to create internally generated gclks and other high fan-out control signals; for example, synchronous or asynchronous clears and clock enables. figure 5?1 and figure 5?2 show clk pins and plls that can drive gclk networks in arria ii devices. figure 5?1. gclk networks in arria ii gx devices notes to figure 5?1 : (1) pll_5 and pll_6 are only available in ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices. (2) because there are no dedicated clock pins on the left side of an arria ii gx device, gclk[0..3] are not driven by any clock pins. gclk[0..3] ( 2 ) gclk[4..7] clk[4..7] gclk[8..11] clk[8..11] clk[12..15] center plls top right pll top left pll bottom left pll bottom right pll gclk[12..15] pll_1 pll_2 (1) (1) pll_3 pll_5 pll_6 pll_4 5?4 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation regional clock networks for arria ii devices, the rclk networks only pertain to the quadrant they drive into. rclk networks provide the lowest clock delay and skew for logic contained in a single device quadrant. arria ii ioes and internal logic in a given quadrant can also drive rclks to create internally generated rclks and other high fan-out control signals; for example, synchronous or asynchronous clears and clock enables. figure 5?3 and figure 5?4 show clk pins and plls that can drive rclk networks in arria ii devices. figure 5?2. gclk networks in arria ii gz devices t1 t2 l2 l3 b1 b2 r2 r3 gclk[12..15] gclk[4..7] clk[4..7] gclk[0..3] gclk[8..11] c lk[1 2..15] clk[0..3] clk[8..11] chapter 5: clock networks and plls in arria ii devices 5?5 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 5?3. rclk networks in arria ii gx devices notes to figure 5?3 : (1) pll_5 and pll_6 are only available in ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices. (2) rclk[0..5] is not driven by any clock pins because there are no dedicated clock pins on the left side of the arria ii gx devices. figure 5?4. rclk networks in arria ii gz devices (note 1) note to figure 5?4 : (1) a maximum of four signals from the core can drive into each group of rclks. for example, only four core signals can drive in to rclk[0..5] and another four core signals can drive into rclk[54..63] at any one time. rclk[0..5] rclk[30..35] rclk[6..11] rclk[24..29] rclk[42..47] clk[12..15] top left pll bottom left pll bottom right pll top right pll center plls clk[8..11] clk[4..7] rclk[36..41] rclk[12..17] rclk[18..23] q1 q2 q4 q3 pll_2 pll_6 pll_5 (2) (2) (1) (1) pll_4 pll_3 pll_1 clk[4..7] clk[0..3] clk[12..15] clk[8..11] q1 q2 q4 q3 r3 r2 t2 t1 b2 b1 l3 l2 rclk[54..63] rclk[44..53] rclk[0..5] rclk[38..43] rclk[32..37] rclk[6..11] rclk[12..21] rclk[22..31] 5?6 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation periphery clock networks pclk networks are a collection of individual clock networks driven from the periphery of the arria ii device. clock outputs from the dpa block, pld-transceiver interface clocks, i/o pins, and internal logic can drive the pclk networks. figure 5?5 through figure 5?8 show clk pins and plls that can drive pclk networks in arria ii devices. the number of pclks for each arria ii device are as follows: pclk[0..8] pclk[34..49] pclk[9..17] pclk[18..33] clk[12..15] top left pll bottom left pll bottom right pll top right pll center plls clk[8..11] clk[4..7] q1 q2 q4 q3 pll_2 pll_6 pll_5 pll_4 pll_3 pll_1 chapter 5: clock networks and plls in arria ii devices 5?7 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 5?6. pclk networks in (ep2agx95 and EP2AGX125 devices) figure 5?7. pclk networks in (ep2agx190 and ep2agx260 devices) pclk[0..12] pclk[43..58] pclk[13..26] pclk[27..42] clk[12..15] top left pll bottom left pll bottom right pll top right pll center plls clk[8..11] clk[4..7] q1 q2 q4 q3 pll_2 pll_6 pll_5 pll_4 pll_3 pll_1 pclk[9..17] pclk[60..71] pclk[27..35] pclk[36..47] clk[12..15] top left pll bottom left pll bottom right pll top right pll center plls clk[8..11] clk[4..7] q1 q2 q4 q3 pll_2 pll_6 pll_5 pll_4 pll_3 pll_1 pclk[48..59] pclk[72..83] pclk[0..8] pclk[18..26] 5?8 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation clock sources per quadrant there are 26 section clock (sclk) networks available in each spine clock that can drive six row clocks in each logic array block (lab) row, nine column i/o clocks, and three core reference clocks. sclks are the clock resources to the core functional blocks, plls, and i/o interfaces of the device. figure 5?9 shows that the gclk, rclk, pclk, or pll feedback clock networks in each spine clock can drive the sclks. figure 5?8. pclk networks in arria ii gz devices [ pclk[11..21] pclk[66..76] pclk[33..43] pclk[44..54] clk[12..15] clk[8..11] clk[0..3] clk[4..7] q1 q2 q4 q3 r3 r2 b1 b2 t1 t2 pclk[55..65] pclk[77..87] pclk[0..10] pclk[22..32] l3 l2 figure 5?9. hierarchical clock networks per spine clock in arria ii devices (note 1) notes to figure 5?9 : (1) the gclk, rclk, pclk, and pll feedback clocks share the same routing to the sclks. the total number of clock resources must not exceed the sclk limits in each region to ensure successful design fitting in the quartus ? ii software. (2) there are up to three pll feedback clocks which are from the pll that drives into the sclks. (3) there are up to 16 pclks that can drive the sclks in each spine clock in the largest device. (4) there are up to 22 rclks (arria ii gz) or 12 rclks (arria ii gx) that can drive the sclks in each spine clock in the largest device. (5) the column i/o clock drives the column i/o core registers and i/o interfaces. (6) the core reference clock feeds into the pll as the pll reference clock. (7) the row clock is the clock source to the lab, memory blocks, and row i/o interfaces in the core row. sclk col u mn i/o clock (5 ) core reference clock (6) ro w clock (7) gclk rclk pll feed b ack clock (2) pclk 9 2 26 16 3 16 (3) 22 ( 4) 6 chapter 5: clock networks and plls in arria ii devices 5?9 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 a spine clock is another layer of routing below the gclks, rclks, and pclks before each clock is connected to the clock routing for each lab row. the settings for spine clocks are transparent. the quartus ii software automatically routes the spine clock based on the gclk, rclk, and pclks. clock regions arria ii gx devices provide up to 64 distinct clock domains (16 gclks + 48 rclks) in the entire device, while arria ii gz devices provide up to 104 distinct clock domains (16 gclks + 88 rclks). use these clock resources to form the following three types of clock regions: 5?10 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 5?10 and figure 5?11 show the dual-regional clock region for arria ii devices. figure 5?10. device dual-regional clock region for arria ii gx devices figure 5?11. device dual-regional clock region for arria ii gz devices clock pi ns o r pll output s ca n d r ive half of the device to c r eate s ide-wide clocki n g r egio ns fo r imp r oved i n te r face timi n g. regional clock m u ltiplexers pll_1 pll_4 pll_3 pll_2 clock pi ns o r pll output s ca n d r ive half of the device t o c r eate s ide-wide clocki n g r egio ns fo r imp r oved i n te r face timi n g. regional clock m u ltiplexer t1 t2 b1 b2 l3 l2 r3 r2 chapter 5: clock networks and plls in arria ii devices 5?11 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration clock network sources in arria ii gx devices, clock input pins, internal logic, transceiver clocks, and pll outputs can drive the gclk and rclk networks, while in arria ii gz devices, clock input pins, pll outputs, and internal logic can drive the gclk and rclk networks. ta b l e 5 ?2 through table 5?5 on page 5?13 list the connectivity between the dedicated clock pins and the gclk and rclk networks. dedicated clock inputs pins clk pins can either be differential clocks or single-ended clocks. arria ii gx devices support six differential clock inputs or 12 single-ended clock inputs, while arria ii gz devices support 16 differential clock inputs or 32 single-ended clock inputs. you can also use the dedicated clock input pins clk[4..15] (for arria ii gx devices) and clk[15..0] (for arria ii gz devices) for high fan-out control signals such as asynchronous clears, presets, and clock enables for protocol signals such as trdy and irdy for pci express ? (pcie ? ) through gclk or rclk networks. logic array blocks you can drive up to four signals into each gclk and rclk network with logic array block (lab)-routing to allow internal logic to drive a high fan-out, low-skew signal. 1 you cannot drive arria ii plls by internally generated gclks or rclks. the input clock to the pll has to come from dedicated clock input pins or pll-fed gclks and rclks only. pll clock outputs ta b l e 5 ?2 and ta b l e 5? 3 list the connection between the dedicated clock input pins and gclks. table 5?2. clock input pin connectivity to gclk networks for arria ii gx devices clock resources clk (p/n pins) 456789101112131415 gclk[0..3] (1) ???????????? gclk[4..7] v v v v ???????? gclk[8..11] ???? v v v v ???? gclk[12..15] ???????? v v v v note to table 5?2 : (1) gclk[0..3] is not driven by any clock pins because there are no dedicated clock pins on the left side of the arria ii gx device. table 5?3. clock input pin connectivity to the gclk networks for arria ii gz devices (part 1 of 2) clock resources clk (p/n pins) 0123456789101112131415 gclk[0..3] v v v v ???????????? gclk[4..7] ???? v v v v ???????? 5?12 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 5 ?4 and ta b l e 5? 5 list the connectivity between the dedicated clock input pins and rclks in arria ii devices. a given clock input pin can drive two adjacent rclk networks to create a dual-rclk network. gclk[8..11] ???????? v v v v ???? gclk[12..15] ???????????? v v v v table 5?3. clock input pin connectivity to the gclk networks for arria ii gz devices (part 2 of 2) clock resources clk (p/n pins) 0123456789101112131415 table 5?4. clock input pin connectivity to rclk networks for arria ii gx devices clock resource clk (p/n pins) 456789101112131415 rclk [12, 14, 16, 18, 20, 22] v ? v ????????? rclk [13, 15, 17, 19, 21, 23] ? v ? v ???????? rclk [24..35] ???? v v v v ? ??? rclk [36, 38, 40, 42, 44, 46] ???????? v ? v ? rclk [37, 39, 41, 43, 45, 47] ????????? v ? v table 5?5. clock input pin connectivity to the rclk networks for arria ii gz devices (part 1 of 2) clock resource clk (p/n pins) 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 rclk [0, 4, 6, 10] v ??????????????? rclk [1, 5, 7, 11] ? v ?????????????? rclk [2, 8] ?? v ????????????? rclk [3, 9] ??? v ???????????? rclk [13, 17, 21, 23, 27, 31] ? ?? ? v ??????????? rclk [12, 16, 20, 22, 26, 30] ?? ? ? ? v ?????????? rclk [15, 19, 25, 29] ?????? v ????????? rclk [14, 18, 24, 28] ??????? v ???????? rclk [35, 41] ???????? v ??????? rclk [34, 40] ????????? v ?????? rclk [33, 37, 39, 43] ?????????? v ????? rclk [32, 36, 38, 42] ??????????? v ???? rclk [47, 51, 57, 61] ???????????? v ??? rclk [46, 50, 56, 60] ????????????? v ?? chapter 5: clock networks and plls in arria ii devices 5?13 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration clock input connections to plls ta b l e 5 ?6 and ta b l e 5? 7 list dedicated clock input pin connectivity to arria ii plls. rclk [45, 49, 53, 55, 59, 63] ?????????????? v ? rclk [44, 48, 52, 54, 58, 62] ??????????????? v table 5?5. clock input pin connectivity to the rclk networks for arria ii gz devices (part 2 of 2) clock resource clk (p/n pins) 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 table 5?6. plls and pll clock pin drivers for arria ii gx devices (note 1) dedicated clock input pin clk (p/n pins) pll number 1 2 345 6 clk[4..7] ?? v v ?? clk[8..11] ? v v ? v v clk[12..15] v v ??? ? note to table 5?6 : (1) pll_5 and pll_6 are connected directly to clk[8..11] . pll_1 , pll_2 , pll_3 and pll_4 are driven by the clock input pins through a 4:1 multiplexer. table 5?7. plls and pll clock pin drivers for arria ii gz devices (note 1) , (2) dedicated clock input pin clk (p/n pins) pll number l2 l3 b1 b2 r2 r3 t1 t2 clk[0..3] v v ?????? clk[4..7] ?? v v ???? clk[8..11] ???? v v ?? clk[12..15] ???? ? ? vv notes to table 5?7 : (1) for single-ended clock inputs, only the clk <#> p pin has a dedicated connection to the pll. if you use the clk <#> n pin, a gclk is used. (2) for the availability of the clock input pins in each device density, refer to the ?arria ii device pin-out files? section of the pin-out files for altera devices . 5?14 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation clock output connections plls in arria ii gx devices can drive up to 24 rclk networks and eight gclk networks, while plls in arria ii gz devices can drive up to 20 rclk networks and four gclk networks. the quartus ii software automatically assigns pll clock outputs to rclk or gclk networks. ta b l e 5 ?8 and ta b l e 5? 9 list the arria ii pll connectivity to gclk networks. ta b l e 5 ?1 0 and table 5?11 list how the pll clock outputs connect to rclk networks. table 5?8. pll connectivity to gclks for arria ii gx devices clock network pll number 123456 gclk[0..3] v ?? v ?? gclk[4..7] ?? v v ?? gclk[8..11] ? v v ? v v gclk[12..15] v v ???? table 5?9. pll connectivity to the gclk networks for arria ii gz devices (note 1) clock network pll number l2 l3 b1 b2 r2 r3 t1 t2 gclk[0..3] v v ?????? gclk[4..7] ?? vv ???? gclk[8..11] ???? vv ?? gclk[12..15] ?????? vv note to table 5?9 : (1) only pll counter outputs c0 - c3 can drive the gclk networks. table 5?10. rclk outputs from plls for arria ii gx devices clock resource pll number 1 2 3456 rclk[0..11] v ?? v ?? rclk[12..23] ?? vv ?? rclk[24..35] ? v v ? vv rclk[36..47] vv ???? table 5?11. rclk outputs from the pll clock outputs for arria ii gz device (part 1 of 2) clock resource pll number l2 l3 b1 b2 r2 r3 t1 t2 rclk[0..11] vv ?????? rclk[12..31] ?? vv ???? chapter 5: clock networks and plls in arria ii devices 5?15 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration clock control block every gclk and rclk network has its own clock control block. the control block provides the following features: c0 or c1 ), or a combination of clock pins or pll outputs. rclk[32..43] ? ??? vv ?? rclk[44..63] ? ????? vv table 5?11. rclk outputs from the pll clock outputs for arria ii gz device (part 2 of 2) clock resource pll number l2 l3 b1 b2 r2 r3 t1 t2 figure 5?12. gclk control block for arria ii devices notes to figure 5?12 : (1) you can only dynamically control these clock select signals through internal logic when the device is operating in user mode. (2) these clock select signals can only be set through a configuration file ( .sof or .pof ) and cannot be dynamically controlled during user mode operation. (3) the left side of the arria ii gx device only allows pll counter outputs as the dynamic clock source selection to the gclk network. (4) this is only available on the left side of the arria ii gx device. pll co u nter o u tp u ts (3) internal logic static clock select (2) clkselect[1..0] thi s multiplexe r s uppo r t s u s e r -co n t r ollable dy n amic s witchi n g i n te r -t r a ns ceive r block clock li n e s (4) (1) 2 2 2 clk pin ena b le/ disa b le gclk internal logic clk pin 5?16 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 5 ?1 2 lists the mapping between the input clock pins, pll counter outputs, and clock control block inputs. 1 when combining the pll outputs and clock pins in the same clock control block, ensure that these clock sources are implemented on the same side of the device. for all possible legal inclk sources for each gclk and rclk network, refer to table 5?2 on page 5?12 through table 5?10 on page 5?15 . you can statically control the clock source selection for the rclk select block with configuration bit settings in the configuration file generated by the quartus ii software. you can power down the arria ii clock networks both statically and dynamically. when a clock network is powered down, all the logic fed by the clock network is in an off-state, thereby reducing the overall power consumption of the device. the unused gclk and rclk networks are automatically powered down through configuration bit settings in the configuration file generated by the quartus ii software. the dynamic clock enable or disable feature allows the internal logic to control power-up or power-down synchronously on gclk and rclk networks. this function is independent of the pll and is applied directly on the clock network, as shown in figure 5?12 on page 5?16 through figure 5?14 on page 5?18 . you can set the input clock sources and the clkena signals for the gclk and rclk clock network multiplexers through the quartus ii software with the altclkctrl megafunction. you can also enable or disable the dedicated external clock output pins with the altclkctrl megafunction. 1 when you use the altclkctrl megafunction to implement dynamic clock source selection in arria ii devices, the inputs from the clock pins, except for the left side of the arria ii gx device, feed the inclk[0..1] ports of the multiplexer, and the pll outputs feed the inclk[2..3] ports. you can choose from among these inputs with the clkselect[1..0] signal. for the connections between the pll counter outputs to the clock control block, refer to table 5?12 on page 5?17 . table 5?12. mapping between input clock pins, pll counter outputs, and clock control block inputs for arria ii devices clock control block inputs description inclk[0] , inclk[1] (1) can be fed by any of the four dedicated clock pins on the same side. inclk[2] c0 and c2 from the two corner plls on the same side. inclk[3] c1 and c3 from the two corner plls on the same side. c1 and c3 from the two center plls on the same side. note to table 5?12 : (1) the left side of the arria ii gx device only allows pll counter outputs as the dynamic clock source selection to the gclk net work. therefore, inclk[0] can be fed by pll counters c4 or c6 , while inclk[1] can only be fed by pll counter c5 . chapter 5: clock networks and plls in arria ii devices 5?17 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration f for more information, refer to the clock control block (altclkctrl) megafunction user guide . figure 5?13 and figure 5?14 show the rclk select blocks. figure 5?13. rclk control block for arria ii gx devices note to figure 5?13 : (1) this clock select signal can only be statically controlled through a configuration file ( .sof or .pof ) and cannot be dynamically controlled during user mode operation. figure 5?14. rclk control block for arria ii gz devices notes to figure 5?14 : (1) when the device is in user mode, you can only set the clock select signals through a configuration file ( .sof or .pof ). you cannot dynamically control the clock. (2) the clkn pin is not a dedicated clock input when used as a single-ended pll clock input. clk pin pll co u nter o u tp u ts internal logic ena b le/ disa b le rclk internal logic static clock select (1) 2 clkp pin pll co u nter o u tp u ts internal logic clkn pin ena b le/ disa b le rclk internal logic static clock select (1) 2 (2) 5?18 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 5?15 shows the external pll output clock control block. clock enable signals figure 5?16 shows how the clock enable/disable circuit of the clock control block is implemented in arria ii devices. figure 5?15. external pll output clock control block arria ii devices notes to figure 5?15 : (1) for arria ii gx devices, n = 8; for arria ii gz devices, n = 8 or 11. (2) when the device is in user mode, you can only set the clock select signals through a configuration file ( .sof or .pof ). you cannot dynamically control the clock. (3) the clock control block feeds a multiplexer in the pll<#>_clkout pin?s ioe. the pll<#>_clkout pin is a dual-purpose pin. therefore, this multiplexer selects either an internal signal or the output of the clock control block. pll co u nter o u tp u ts and m co u nter ena b le/ disa b le pll<#>_clkout pin internal logic static clock select ioe (2 ) static clock select (2) internal logic (3) n (1) figure 5?16. clkena implementation for arria ii devices notes to figure 5?16 : (1) the r1 and r2 bypass paths are not available for pll external clock outputs. (2) the select line is statically controlled by a bit setting in the configuration file ( .sof or .pof ). clkena gclk/ rclk/ pll_<#>_clkout (1 ) o u tp u t of clock select m u ltiplexer (2) r1 r2 (1) (1) d q d q chapter 5: clock networks and plls in arria ii devices 5?19 clock networks in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration in arria ii devices, the clkena signals are supported at the clock network level instead of at the pll output counter level. this allows you to gate off the clock even when a pll is not used. you can also use the clkena signals to control the dedicated external clocks from the plls. arria ii devices also have an additional metastability register that aids in asynchronous enable or disable of the gclk and rclk networks. you can optionally bypass this register in the quartus ii software. figure 5?17 shows a waveform example for the clock output enable. the clkena signal is synchronous to the falling edge of the clock output. the pll can remain locked independent of the clkena signals because the loop-related counters are not affected. this feature is useful for applications that require a low power or sleep mode. the clkena signal can also disable clock outputs if the system is not tolerant of frequency over-shoot during resynchronization. clock source control for plls the clock input to arria ii plls comes from clock input multiplexers. the clock multiplexer inputs come from dedicated clock input pins, plls through the gclk and rclk networks, or from dedicated connections between adjacent corner and center plls (arria ii gx devices) or from dedicated connections between adjacent top/bottom and left/right plls (arria ii gz devices). for arria ii gx devices, the clock input sources to corner ( pll _ 1 , pll _ 2 , pll _ 3 , pll _ 4 ) and center plls ( pll _ 5 and pll _ 6 ) are shown in figure 5?18 . for arria ii gz devices, the clock input sources to top/bottom and left/right plls (l2, l3, t1, t2, b1, b2, r2, and r3) are shown in figure 5?19 . the multiplexer select lines are set in the configuration file only. when configured, you cannot change this block without loading a new .sof or .pof . the quartus ii software automatically sets the multiplexer select signals depending on the clock sources selected in your design. figure 5?17. clkena signals for arria ii devices note to figure 5?17 : (1) you can use the clkena signals to enable or disable the gclk and rclk networks or the pll<#>_clkout pins. clkena o u tp u t of a n d gate w ith r2 b ypassed o u tp u t of clock select m u ltiplexer o u tp u t of a n d gate w ith r2 not b ypassed 5?20 chapter 5: clock networks and plls in arria ii devices clock networks in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation f for more information about the clock control block and its supported features in the quartus ii software, refer to the clock control block (altclkctrl) megafunction user guide . figure 5?18. clock input multiplexer logic for arria ii gx plls notes to figure 5?18 : (1) input clock multiplexing is controlled through a configuration file ( .sof or .pof ) only; it cannot be dynamically controlled when the device is operating in user mode. (2) dedicated clock input pins to the plls: n = 4 for pll_4 ; n = 4 or 8 for pll_3 ; n = 8 or 12 for pll_2 ; and n = 12 for pll_1 . (3) you can drive the gclk or rclk clock input with an output from another pll, a pin-driven gclk or rclk, or through a clock co ntrol block, provided the clock control block is fed by an output from another pll or a pin-driven dedicated gclk or rclk. an internally gen erated global signal or general purpose i/o pin cannot drive the pll. 4 4 (1) (1) inclk0 inclk1 to the clock switchover block clk[n+3..n] (2) gclk / rclk input (3) adjacent pll output figure 5?19. clock input multiplexer logic for arria ii gz devices notes to figure 5?19 : (1) when the device is operating in user mode, input clock multiplexing is controlled through a configuration file ( .sof or .pof ) only and cannot be dynamically controlled. (2) n = 0 for l2 and l3 plls; n = 4 for b1 and b2 plls; n = 8 for r2 and r3 plls, and n = 12 for t1 and t2 plls. (3) you can drive the gclk or rclk input using an output from another pll, a pin-driven gclk or rclk, or through a clock control block provided the clock control block is fed by an output from another pll or a pin-driven dedicated gclk or rclk. an internally generated gl obal signal or general purpose i/o pin cannot drive the pll. 4 4 (1) (1) inclk0 inclk1 to the clock switchover bloc k clk[n+3..n] (2) gclk / rclk input (3) adjacent pll output chapter 5: clock networks and plls in arria ii devices 5?21 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration cascading plls you can cascade the corner and center plls through the gclk and rclk networks (arria ii gx devices) or left/right and top/bottom plls through the gclk and rclk networks (arria ii gz devices). in addition, where two plls exist next to each other, there is a direct connection between them that does not require the gclk and rclk network. by cascading plls, you can use this path to reduce clock jitter. for arria ii gx devices, the direct pll cascading feature is available in pll _ 5 and pll _ 6 on the right side of ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices. arria ii gx devices allow cascading of pll _ 1 and pll _ 4 to the transceiver plls (clock management unit plls and receiver clock data recoveries [cdrs]). arria ii gz devices allows cascading the left and right plls to transceiver plls (cmu plls and receiver cdrs). if your design cascades plls, the source (upstream) pll must have a low-bandwidth setting, while the destination (downstream) pll must have a high-bandwidth setting. ensure that there is no overlap of the bandwidth ranges of the two plls. f for more information, refer to the ?fpga fabric plls-transceiver plls cascading? section in the transceiver clocking in arria ii devices chapter. f for more information about pll cascading in external memory interfaces designs, refer to the external memory phy interface (altmemphy) (nonafi) megafunction user guide . plls in arria ii devices arria ii gx devices offer up to six plls per device and seven outputs per pll, while arria ii gz devices offer up to eight plls that provide robust clock management and synthesis for device clock management, external system clock management, and high-speed i/o interfaces. the nomenclature for the plls follows their geographical location in the device floor plan. for the location and number of plls in arria ii devices, refer to figure 5?1 on page 5?4 through figure 5?4 on page 5?6 . 1 depending on the package, arria ii gx devices offer up to eight transceiver transmitter (tx) plls per device that can be used by the fpga fabric if they are not used by the transceiver. f for more information about the number of general-purpose and transceiver tx plls in each device density, refer to the overview for arria ii device family chapter. for more information about using the transceiver tx plls in the transceiver block, refer to the transceiver clocking in arria ii devices chapter. all arria ii plls have the same core analog structure and support features with minor differences in the features that are supported for arria ii gz devices. 5?22 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 5 ?1 3 lists the pll features in arria ii devices. table 5?13. pll features in arria ii devices feature arria ii gx plls arria ii gz plls top/bottom plls left/right plls c (output) counters 7 10 7 m , n , c counter sizes 1 to 512 1 to 512 1 to 512 dedicated clock outputs 1 single-ended or 1 differential pair 3 single-ended or 3 differential pairs (1) , (2) 6 single-ended or 4 single-ended and 1 differential pair 2 single-ended or 1 differential pair clock input pins 4 single-ended or 2 differential pin pairs 4 single-ended or 2 differential pin pairs 4 single-ended or 2 differential pin pairs external feedback input pin no single-ended or differential single-ended only spread-spectrum input clock tracking yes (3) yes (3) yes (3) pll cascading through gclk and rclk and dedicated path between adjacent plls. cascading between the general-purpose pll and transceiver pll is supported in pll_1 and pll_4 . through gclk and rclk and a dedicated path between adjacent plls through gclk and rclk and dedicated path between adjacent plls (4) compensation modes all except external feedback mode when you use differential i/os all except lvds clock network compensation all except external feedback mode when you use differential i/os pll drives diffclk and loaden yes no yes vco output drives dpa clock yes no yes phase shift resolution down to 96.125 ps (5) down to 96.125 ps (5) down to 96.125 ps (5) programmable duty cycle yes yes yes output counter cascading yes yes yes input clock switchover yes yes yes notes to table 5?13 : (1) pll_5 and pll_6 do not have dedicated clock outputs. (2) the same pll clock output drives three single-ended or three differential i/o pairs. this is only supported in pll_1 and pll_3 of ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices. (3) this is applicable only if the input clock jitter is within the input jitter tolerance specifications. (4) the dedicated path between adjacent plls is not available on l1, l4, r1, and r4 plls. (5) the smallest phase shift is determined by the voltage-controlled oscillator (vco) period divided by eight. for degree increm ents, the arria ii device can shift all output frequencies in increments of at least 45. smaller degree increments are possible depending on the frequen cy and c counter value. chapter 5: clock networks and plls in arria ii devices 5?23 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration pll hardware overview in arria ii devices figure 5?20 shows a simplified block diagram of the major components of the arria ii pll. 1 you can drive the gclk or rclk clock input with an output from another pll, a pin-driven gclk or rclk, or through a clock control block, provided the clock control block is fed by an output from another pll, or a pin driven dedicated gclk or rclk. an internally-generated global signal or general purpose i/o (gpio) pin cannot drive the pll. pll clock i/o pins for arria ii gx devices, each pll supports one of the following clock i/o pin configurations: pll _ 1 and pll _ 3 of ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices). you can only access one differential i/o pair or one single-ended pin at a time. figure 5?20. pll block diagram for arria ii devices notes to figure 5?20 : (1) the number of post-scale counters is seven for left and right plls and ten for top and bottom plls. (2) this is the vco post-scale counter k . (3) the fbout port is fed by the m counter in arria ii plls. the fbout port is only available in arria ii gz devices. clock switchover block inclk0 inclk1 dedicated clock inputs cascade input from adjacent pll pfdena clkswitch clkbad0 clkbad1 activeclock pfd lock circuit locked n cp lf vco 2 (2) gclk/rclk 8 4 fbin diffioclk network gclk/rclk network no compensation mode zdb, external feedback modes lvds compensation mode source synchronous, normal modes c0 c1 c2 c3 cn m (1) pll output mux casade output to adjacent pll gclks rclks external clock outputs diffioclk from left/right plls load_en from left/right plls fbout (3) external memory interface dll 8 8 to dpa block on left/right plls /2, /4 5?24 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 5?21 shows the clock i/o pins associated with arria ii gx plls. for arria ii gx devices, any of the output counters ( c[6..0] ) or the m counter can feed the dedicated external clock outputs, as shown in figure 5?21 . therefore, one counter or frequency can drive all the output pins available from a given pll. for arria ii gz devices, each top and bottom pll supports six clock i/o pins, organized as three pairs of pins: notes to figure 5?21 : (1) you can feed these clock output pins with any one of the c[6..0], or m counters. (2) the pll<#>_clkout<#>p and pll<#>_clkout<#>n pins can be either single-ended or pseudo-differential clock outputs. the arria ii gx pll only routes single-ended i/os to pll<#>clkout<#>p pins, while you can use pll<#>_clkout<#>n pins as user i/os. (3) these external clock enable signals are available only when you use the altclkctrl megafunction. arria ii gx plls c2 c3 c4 c6 c5 clke n a0 (3) c0 c1 internal logic pll<#>_clkout<#>n (1), (2 ) pll<#>_clkout<#>p (1), (2) clke n a1 (3) m chapter 5: clock networks and plls in arria ii devices 5?25 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 5?22 shows the clock i/o pins associated with the top and bottom plls. for arria ii gz devices, any of the output counters ( c[9..0] on the top and bottom plls and c[6..0] on the left and right plls) or the m counter can feed the dedicated external clock outputs, as shown in figure 5?22 and figure 5?23 . therefore, one counter or frequency can drive all the output pins available from a given pll. each left and right pll supports two clock i/o pins, configured as either two single-ended i/os or one differential i/o pair. when using both pins as single-ended i/os, one of them can be the clock output while the other pin is the external feedback input (fb) pin. therefore, for single-ended i/o standards, the left and right plls only support external feedback mode. figure 5?22. external clock outputs for top and bottom plls in arria ii gz devices notes to figure 5?22 : (1) you can feed these clock output pins using any one of the c[9..0] , or m counters . (2) the clkout0p and clkout0n pins can be either single-ended or differential clock outputs. the clkout1 and clkout2 pins are dual-purpose i/o pins that you can use as two single-ended outputs or one differential external feedback input pin. the clkout3 and clkout4 pins are two single-ended output pins. (3) these external clock enable signals are available only when you use the altclkctrl megafunction. 5?26 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation each pin of a single-ended output pair can either be in-phase or 180 out-of-phase. the quartus ii software places the not gate in your design into the ioe to implement a 180 phase with respect to the other pin in the pair. the clock output pin pairs support the same i/o standards as standard output pins, as well as lvds_e_3r, lvpecl, differential high-speed transceiver logic (hstl), and differential sstl. f to determine which i/o standards are supported by the pll clock input and output pins, refer to the i/o features in arria ii devices chapter. arria ii plls can also drive out to any regular i/o pin through the gclk or rclk network. you can also use the external clock output pins as user i/o pins if you do not require external pll clocking. however, external clock output pins can support a differential i/o standard that is only driven by a pll. 1 regular i/o pins cannot drive the pll clock input pins. figure 5?23. external clock outputs for left and right plls in arria ii gz devices notes to figure 5?23 : (1) you can feed these clock output pins using any one of the c[6..0] , or m counters. (2) the clkout0p and clkout0n pins are dual-purpose i/o pins that you can use as two single-ended outputs or one single-ended output and one external feedback input pin. (3) these external clock enable signals are available only when using the altclkctrl megafunction. left/right plls c2 c3 c4 c6 c5 clke n a0 (3) c0 c1 internal logic pll_ chapter 5: clock networks and plls in arria ii devices 5?27 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration pll control signals you can use the pfdena , areset , and locked signals to observe and control pll operation and resynchronization. pfdena use the pfdena signal to maintain the most recent locked frequency to allow your system to store its current settings before shutting down. the pfdena signal controls the phase frequency detector (pfd) output with a programmable gate. if you disable the pfd, the vco operates at its most recent set value of control voltage and frequency with some long-term drift to a lower frequency. areset the areset signal is the reset or resynchronization input for each pll. the device input pins or internal logic can drive these input signals. when areset is driven high, the pll counters reset, clearing the pll output and placing the pll out-of-lock. the vco is then set back to its nominal setting. when areset is driven low again, the pll resynchronizes to its input as it relocks. you must include the areset signal in designs if any of the following conditions are true: 1 if the input clock to the pll is not toggling or is unstable after power up, assert the areset signal after the input clock is stable and in specifications. locked the locked signal indicates that the pll has locked onto the reference clock and the pll clock outputs are operating at the desired phase and frequency set in the quartus ii software. 1 altera recommends using the areset and locked signals in your designs to control and observe the status of your pll. f for more information about the pll control signals, refer to the altpll megafunction user guide . 5?28 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation clock feedback modes arria ii plls support up to six different clock feedback modes. each mode allows clock multiplication and division, phase shifting, and programmable duty cycle. ta b l e 5 ?1 4 lists the clock feedback modes supported by the arria ii plls. 1 input and output delays are fully compensated by a pll only when you use the dedicated clock input pins associated with a given pll as clock sources. for example, when you use pll _ 1 (arria ii gx devices) or pll_t1 (arria ii gz devices) in normal mode, the clock delays from the input pin to the pll clock output-to-destination register are fully compensated, provided the clock input pin is one of the following four pins: clk12 , clk13 , clk14 , or clk15 . when an rclk or gclk network drives the pll, the input and output delays may not be fully compensated in the quartus ii software. another example is when pll _ 1 (arria ii gx devices) or pll_t2 (arria ii gz devices) is configured in zero delay buffer mode and the pll input is driven by a dedicated clock input pin, a fully compensated clock path results in zero delay between the clock input and one of the output clocks from the pll. if the pll input is instead fed by a non-dedicated input (using the gclk network), the output clock may not be perfectly aligned with the input clock. table 5?14. clock feedback mode av ailability for arria ii devices clock feedback mode availability in arria ii gx devices availability in arria ii gz devices top/bottom plls left/right plls source-synchronous mode yes yes yes no-compensation mode yes yes yes normal mode yes yes yes zero-delay buffer (zdb) mode (1) yes yes yes external feedback (2) no yes yes (3) lvds compensation yes (4) no yes notes to table 5?14 : (1) zdb mode uses 8 ns delay for compensation in arria ii gx devices. (2) the high-bandwidth pll setting is not supported in the external feedback mode. (3) external feedback mode is supported for single-ended inputs and outputs only on the left and right plls. (4) lvds compensation mode is only supported on pll_2 , pll_3 , pll_5 , and pll_6 . chapter 5: clock networks and plls in arria ii devices 5?29 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration source-synchronous mode if data and clock arrive at the same time on the input pins, the same phase relationship is maintained at the clock and data ports of any ioe input register. figure 5?24 shows an example waveform of the clock and data in source-synchronous mode. this mode is recommended for source-synchronous data transfers. data and clock signals at the ioe experience similar buffer delays as long as you use the same i/o standard. source-synchronous mode compensates for the delay of the clock network used plus any difference in the delay between these two paths: pll compensation assignment in the quartus ii software assignment editor to select which input pins are used as the pll compensation targets. you can include your entire data bus, provided the input registers are clocked by the same output of a source-synchronous compensated pll. all input pins must be on the same side of the device for the clock delay to be properly compensated. the pll compensates for the input pin with the longest pad-to-register delay among all input pins in the compensated bus. if you do not assign the pll compensation assignment, the quartus ii software automatically selects all pins driven by the compensated output of the pll as the compensation target. figure 5?24. phase relationship between clock and data in source-synchronous mode in arria ii devices data pin pll reference clock at input pin data at register clock at register 5?30 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation source-synchronous mode for lvds compensation the goal of source-synchronous mode for lvds compensation is to maintain the same data and clock timing relationship seen at the pins at the internal serializer/deserializer (serdes) capture register, except that the clock is inverted (180 phase shift), as shown in figure 5?25 . thus, this mode ideally compensates for the delay of the lvds clock network plus any difference in the delay between these two paths: data pin pll reference clock at input pin data at register clock at register chapter 5: clock networks and plls in arria ii devices 5?31 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration normal mode an internal clock in normal mode is phase-aligned to the input clock pin. the external clock output pin has a phase delay relative to the clock input pin if connected in this mode. the quartus ii software timequest timing analyzer reports any phase difference between the two. in normal mode, the delay introduced by the gclk or rclk network is fully compensated. figure 5?27 shows an example waveform of the phase relationship of the pll clocks in normal mode. figure 5?26. phase relationship between pll clocks in no-compensation mode for arria ii devices note to figure 5?26 : (1) the pll clock outputs can lag the pll input clocks depending on routine delays. pll reference clock at the input pin pll clock at the register clock port (1) external pll clock outputs (1) phase aligned figure 5?27. phase relationship between pll clocks in normal mode for arria ii devices note to figure 5?27 : (1) the external clock output can lead or lag the pll internal clock signals. pll clock at the register clock port dedicated pll clock outputs (1) phase aligned pll reference clock at the input pin 5?32 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation zero-delay buffer mode in zdb mode, the external clock output pin is phase-aligned with the clock input pin for zero delay through the device. you must use the same i/o standard on the input and output clocks to guarantee clock alignment at the input and output pins. zero-delay buffer mode is supported on all arria ii plls. you must instantiate a bidirectional i/o pin in the design to serve as the feedback path connecting the fbout and fbin ports of the pll when using arria ii gz plls in zdb mode, along with single-ended i/o standards, to ensure phase alignment between the clk pin and the external clock output ( clkout ) pin. the pll uses this bidirectional i/o pin to mimic and compensate for the output delay from the clock output port of the pll to the external clock output pin. 1 the bidirectional i/o pin that you instantiate in your design must always be assigned a single-ended i/o standard. 1 do not place board traces on the bidirectional i/o pin when using zdb mode, to avoid signal reflection. figure 5?28 shows zdb mode in arria ii gz plls. you cannot use differential i/o standards on the pll clock input or output pins. figure 5?28. zdb mode in plls for arria ii gz devices inclk fbin fbout pll_<#>_clkout# n pfd cp/lf vco c0 c1 m bidirectional i/o pin pll_<#>_clkout# chapter 5: clock networks and plls in arria ii devices 5?33 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 5?29 shows an example waveform of the pll clocks? phase relationship in zdb mode. external feedback mode in external feedback mode, the external feedback input pin ( fbin ) is phase-aligned with the clock input pin, as shown in figure 5?30 . aligning these clocks allows you to remove clock delay and skew between devices. this mode is supported on all arria ii gz plls. in external feedback mode, the output of the m counter ( fbout ) feeds back to the pll fbin input (using a trace on the board) becoming part of the feedback loop. also, use one of the dual-purpose external clock outputs as the fbin input pin in this mode. you must use the same i/o standard on the input clock, feedback input, and output clocks. left and right plls support this mode when using single-ended i/o standards only. figure 5?29. phase relationship between pll clocks in zero delay buffer mode for arria ii devices note to figure 5?29 : (1) the internal pll clock output can lead or lag the external pll clock outputs. pll clock at the register clock port dedicated pll clock o u tp u ts (1) phase aligned pll reference clock at the inp u t pin 5?34 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 5?30 shows an example waveform of the phase relationship between the pll clocks in external feedback mode. figure 5?31 shows external feedback mode implementation in arria ii gz devices. clock multiplication and division each arria ii pll provides clock synthesis for pll output ports with m /( n ? n ) and is then multiplied by the m feedback factor. the control loop drives the vco to match f in ( m / n ). each output port has a unique post-scale counter that divides down the high-frequency vco. for multiple pll outputs with different frequencies, the vco is set to the least common multiple of the output frequencies that meets its frequency specifications. for example, if output frequencies required from one pll are 33 and 66 mhz, the quartus ii software sets the vco to 660 mhz (the least common multiple of 33 and 66 mhz in the vco range). then the post-scale counters scale down the vco frequency for each output port. figure 5?30. phase relationship between the pll clocks in external feedback mode for arria ii devices note to figure 5?30 : (1) the pll clock outputs can lead or lag the fbin clock input. dedicated pll clock o u tp u ts (1) pll clock at the register clock port ( 1) f b in clock inp u t pin phase aligned pll reference clock at the inp u t pin figure 5?31. external feedback mode in arria ii gz devices inclk fbin fbout external board trace pll_<#>_clkout# pll_<#>_clkout# n pfd cp/lf vco c0 c1 m chapter 5: clock networks and plls in arria ii devices 5?35 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration the vco frequency reported by the quartus ii software is the value after the post-scale counter divider ( k ). each pll has one pre-scale counter ( n ) and one multiply counter ( m ) with a range of 1 to 512 for both m and n . the n counter does not use duty-cycle control because the only purpose of this counter is to calculate frequency division. there are seven generic post-scale counters in each pll that can feed gclks, rclks, or external clock outputs. these post-scale counters range from 1 to 512 with a 50% duty cycle setting. the high- and low-count values for each counter ranges from 1 to 256. the sum of the high- and low-count values chosen for a design selects the divide value for a given counter. the quartus ii software automatically chooses the appropriate scaling factors according to the input frequency, multiplication, and division values entered into the altpll megafunction. post-scale counter cascading arria ii plls support post-scale counter cascading to create counters larger than 512. this is automatically implemented in the quartus ii software by feeding the output of one c counter into the input of the next c counter, as shown in figure 5?32 . when cascading post-scale counters to implement a larger division of the high-frequency vco clock, the cascaded counters behave as one counter with the product of the individual counter settings. for example, if c0 = 40 and c1 = 20, the cascaded value is c0 ? 1 post-scale counter cascading is set in the configuration file. you cannot accomplish post-scale counter cascading with pll reconfiguration. figure 5?32. counter cascading for arria ii devices note to figure 5?32 : (1) for arria ii gx devices, n = 6. for arria ii gz devices, n = 6 or 9. c0 c1 c2 cn c3 c4 v co o u tp u t v co o u tp u t v co o u tp u t v co o u tp u t v co o u tp u t v co o u tp u t (1) f r om p r ecedi n g po s t- s cale cou n te r 5?36 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation programmable duty cycle the programmable duty cycle allows the plls to generate clock outputs with a variable duty cycle. this feature is supported on the pll post-scale counters. the duty-cycle setting is achieved by a low and high time-count setting for the post-scale counters. the quartus ii software uses the frequency input and the required multiply or divide rate to determine the duty cycle choices. the post-scale counter value determines the precision of the duty cycle. the precision is defined by 50% divided by the post-scale counter value. for example, if the c0 counter is 10, steps of 5% are possible for duty-cycle choices between 5% to 90%. combining the programmable duty cycle with programmable phase shift allows the generation of precise non-overlapping clocks. for arria ii gz devices, if the pll is in external feedback mode, set the duty cycle for the counter driving the fbin pin to 50%. programmable phase shift use phase shift to implement a robust solution for clock delays in arria ii devices. implement phase shift with a combination of the vco phase output and the counter starting time. a combination of the vco phase output and counter starting time is the most accurate method of inserting delays because it is purely based on counter settings, which are independent of process, voltage, and temperature (pvt). you can phase-shift the output clocks from the arria ii plls in either of these two resolutions: c[n..0]) or the m counter to use any of the eight phases of the vco as the reference clock. this allows you to adjust the delay time with a fine resolution. the minimum delay time that you can insert with this method is defined in equation 5?1 . where f ref is the input reference clock frequency. for example, if f ref is 100 mhz, n is 1, and m is 8, then f vco is 800 mhz and ? fine = t vco = = 1 8 1 8 f vco n 8 mf ref chapter 5: clock networks and plls in arria ii devices 5?37 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration equation 5?2 shows the coarse-resolution phase shifts are implemented by delaying the start of the counters for a predetermined number of counter clocks. where c is the count value set for the counter delay time, (this is the initial setting in the ?pll usage? section of the compilation report in the quartus ii software). if the initial value is 1, c ? 1 = 0 phase shift. figure 5?33 shows an example of a phase-shift insertion with the fine resolution with the vco phase taps method. the eight phases from the vco are shown and labeled for reference. for this example, clk0 is based off the 0phase from the vco and has the c value for the counter set to one. the clk1 signal is divided by four, two vco clocks for high time and two vco clocks for low time. clk1 is based off the 135x phase tap from the vco and also has the c value for the counter set to one. the clk1 signal is also divided by four. in this case, the two clocks are offset by 3 ? clk2 is based off the 0phase from the vco but has the c value for the counter set to three. this arrangement creates a delay of 2 ? scanclk cycle, allowing you to implement large phase shifts quickly. equation 5?2. coarse-resolution phase shifts for arria ii devices coarse = = c ? 1 f (c ? 1)n mf ref v co figure 5?33. delay insertion with vco phase output and counter delay time for arria ii devices t d0-1 t d0-2 1/8 t vco t vco 0 90 135 1 8 0 225 270 315 clk0 clk1 clk2 45 5?38 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation programmable bandwidth pll bandwidth is the measure of the ability of the pll to track the input clock and its associated jitter. arria ii plls provide advanced control of the pll bandwidth with the pll loop?s programmable characteristics, including loop filter and charge pump. the closed-loop gain 3-db frequency in the pll determines the pll bandwidth. the bandwidth is approximately the unity gain point for open loop pll response. spread-spectrum tracking arria ii devices can accept a spread-spectrum input with typical modulation frequencies. however, the device cannot automatically detect that the input is a spread-spectrum signal. instead, the input signal looks like deterministic jitter at the input of the pll. arria ii plls can track a spread-spectrum input clock as long as the input jitter is in the pll input jitter tolerance specification. arria ii devices cannot internally generate spread-spectrum clocks. clock switchover the clock switchover feature allows the pll to switch between two reference input clocks. use this feature for clock redundancy or for a dual-clock domain application such as in a system that turns on the redundant clock if the previous clock stops running. your design can perform clock switchover automatically, when the clock is no longer toggling or based on a user control signal ( clkswitch ). the following clock switchover modes are supported in arria ii plls: inclk0 or inclk1 ). clkswitch signal in this mode. when the clkswitch signal goes from logic low to logic high, and stays high for at least three clock cycles, the reference clock to the pll is switched from inclk0 to inclk1 , or vice-versa. clkswitch = 1, it overrides automatic clock switchover function. as long as the clkswitch signal is high, further switchover action is blocked. chapter 5: clock networks and plls in arria ii devices 5?39 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration arria ii plls support a fully configurable clock switchover capability. figure 5?34 shows the block diagram of the switchover circuit built into the pll. when the current reference clock is not present, the clock sense block automatically switches to the backup clock for pll reference. the clock switchover circuit also sends out three status signals? clkbad[0] , clkbad[1] , and activeclock ?from the pll to implement a custom switchover circuit in the logic array. you can select a clock source as the backup clock by connecting it to the inclk1 port of the pll in your design. automatic clock switchover mode use the switchover circuitry to automatically switch between inclk0 and inclk1 when the current reference clock to the pll stops toggling. for example, in applications that require a redundant clock with the same frequency as the reference clock, the switchover state machine generates a signal ( clksw ) that controls the multiplexer select input, as shown in figure 5?34 . in this case, inclk1 becomes the reference clock for the pll. when you use automatic switchover mode, you can switch back and forth between the inclk0 and inclk1 clocks any number of times, when one of the two clocks fails and the other clock is available. when you use automatic clock switchover mode, the following requirements must be satisfied: clkbad[0:1] signals are not valid. also, if both clock inputs are not the same frequency, but their period difference is 100%, the clock sense block detects when a clock stops toggling, but the pll may lose lock after the switchover is completed and requires time to relock. 1 altera recommends resetting the pll with the areset signal to maintain the phase relationships between the pll input and output clocks when you use clock switchover. figure 5?34. automatic clock switchover circuit block diagram for arria ii devices s w itcho v er state machine clock sense n co u nter pfd clks w itch acti v eclock clk b ad1 clk b ad0 muxout inclk0 inclk1 r efclk f b clk clk s w clock s w itch control logic 5?40 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation when you use automatic switchover mode, the clkbad[0] and clkbad[1] signals indicate the status of the two clock inputs. when they are asserted, the clock sense block has detected that the corresponding clock input has stopped toggling. these two signals are not valid if the frequency difference between inclk0 and inclk1 is greater than 20%. the activeclock signal indicates which of the two clock inputs ( inclk0 or inclk1 ) is being selected as the reference clock to the pll. when the frequency difference between the two clock inputs is more than 20%, the activeclock signal is the only valid status signal. figure 5?35 shows an example waveform of the switchover feature with automatic switchover mode. in this example, the inclk0 signal is stuck low. after the inclk0 signal is stuck at low for approximately two clock cycles, the clock sense circuitry drives the clkbad[0] signal high. also, because the reference clock signal is not toggling, the switchover state machine controls the multiplexer through the clksw signal to switch to the backup clock, inclk1 . manual override mode in automatic switchover with manual override mode, you can use the clkswitch input for user- or system-controlled switch conditions. you can use this mode for same-frequency switchover or to switch between inputs of different frequencies. for example, if inclk0 is 66 mhz and inclk1 is 200 mhz, you must control the switchover when you use clkswitch because the automatic clock-sense circuitry cannot monitor clock input ( inclk0 and inclk1 ) frequencies with a frequency difference of more than 100% (2x). this feature is useful when the clock sources originate from multiple cards on the backplane, requiring a system-controlled figure 5?35. automatic switchover upon loss of clock detection for arria ii devices note to figure 5?35 : (1) switchover is enabled on the falling edge of inclk0 or inclk1 , depending on which clock is available. in this figure, switchover is enabled on the falling edge of inclk1 . inclk0 inclk1 m u xo u t clk b ad0 clk b ad1 (1) acti v eclock chapter 5: clock networks and plls in arria ii devices 5?41 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration switchover between the frequencies of operation. you must choose the backup clock frequency and set the m , n , c , and k counters accordingly so the vco operates in the recommended operating frequency range of 600 to 1,600 mhz. the altpll megawizard plug-in manager interface notifies you if a given combination of inclk0 and inclk1 frequencies cannot meet this requirement. figure 5?36 shows an example waveform of the switchover feature when controlled by the clkswitch signal. in this case, both clock sources are functional and inclk0 is selected as the reference clock. the clkswitch signal goes high, which starts the switchover sequence. on the falling edge of inclk0 , the counter?s reference clock ( muxout ) is gated off to prevent clock glitching. on the falling edge of inclk1 , the reference clock multiplexer switches from inclk0 to inclk1 as the pll reference and the activeclock signal changes to indicate which clock is currently feeding the pll. in automatic switchover with manual override mode, the activeclock signal mirrors the clkswitch signal. as both clocks are still functional during the manual switch, neither clkbad signal goes high. because the switchover circuit is positive-edge sensitive, the falling edge of the clkswitch signal does not cause the circuit to switch back from inclk1 to inclk0 . when the clkswitch signal goes high again, the process repeats. the clkswitch signal and automatic switch only work if the clock being switched to is available. if the clock is not available, the state machine waits until the clock is available. figure 5?36. clock switchover with the clkswitch (manual) control for arria ii devices (note 1) note to figure 5?36 : (1) to start a manual clock switchover event, both inclk0 and inclk1 must be running when the clkswitch signal goes high. inclk0 inclk1 m u xo u t clks w itch acti v eclock clk b ad0 clk b ad1 5?42 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation manual clock switchover mode in manual clock switchover mode, the clkswitch signal controls whether inclk0 or inclk1 is selected as the input clock to the pll. by default, inclk0 is selected. a low-to-high transition on clkswitch and being held high for at least three inclk cycles begins a clock switchover event. you must bring the clkswitch signal back low again to perform another switchover event in the future. if you do not require another switchover event in the future, you can leave clkswitch in a logic high state after the initial switch. pulsing clkswitch high for at least three inclk cycles performs another switchover event. if inclk0 and inclk1 are different frequencies and are always running, the clkswitch minimum high time must be greater than or equal to three of the slower frequency inclk0 and inclk1 cycles. figure 5?37 shows a block diagram of the manual switchover circuit. f for more information about pll software support in the quartus ii software, refer to the phase-locked loops (altpll) megafunction user guide . clock switchover guidelines use the following guidelines when implementing clock switchover in arria ii plls. inclk0 and inclk1 frequencies be in 100% (2x) of each other. fa iling to meet this requirement causes the clkbad[0] and clkbad[1] signals to not function properly. inclk0 and inclk1 can be more than 100% (2x). however, differences in frequency, or phase of the two clock sources, or both, are likely to cause the pll to lose lock. resetting the pll ensures that the correct phase relationships are maintained between the input and output clocks. 1 both inclk0 and inclk1 must be running when the clkswitch signal goes high to start the manual clock switchover event. failing to meet this requirement causes the clock switchover to not function properly. n co u nter pfd f b clk clks w itch inclk0 inclk1 muxout r efclk clock s w itch control logic chapter 5: clock networks and plls in arria ii devices 5?43 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration areset for at least 10 ns after performing a clock switchover. areset is applied, use the clock enable feature of the clock control block to disable the clock network. wait for the locked signal to assert and become stable before re-enabling the output clocks from the pll at the clock control block. clkbad[0] and clkbad[1] status signals to turn off the pfd ( pfdena = 0 ) so the vco maintains its most recent frequency. you can also use the state machine to switch over to the secondary clock. when the pfd is re-enabled, the output clock-enable signals ( clkena ) can disable the clock outputs during the switchover and resynchronization period. after the lock indication is stable, the system can re-enable the output clocks. pll reconfiguration plls use several divide counters and different vco phase taps to perform frequency synthesis and phase shifts. in arria ii plls, you can reconfigure both the counter settings and phase-shift the pll output clock in real time. you can also change the charge pump and loop filter components, which dynamically affect the pll bandwidth. you can use these pll components to update the output-clock frequency and the pll bandwidth and to phase shift in real time, without reconfiguring the entire arria ii device. the ability to reconfigure the pll in real time is useful in applications that operate at multiple frequencies. it is also useful in prototyping environments, allowing you to sweep pll output frequencies and adjust the output-clock phase dynamically. for instance, a system generating test patterns is required to generate and transmit patterns at 75 or 150 mhz, depending on the requirements of the device under test. figure 5?38. vco switchover operating frequency for arria ii devices d f v co p r ima r y clock s top s ru nn i n g s witchove r occu rs vco t r ack s s eco n da r y clock 5?44 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation reconfiguring the pll components in real time allows you to switch between two such output frequencies in a few microseconds. you can also use this feature to adjust the clock-to-out (t co ) delays in real time by changing the pll output clock phase shift. this approach eliminates the requirement to regenerate a configuration file with the new pll settings. pll reconfiguration hardware implementation the following pll components are reconfigurable in real time: n ) m ) c0 to c6 for arria ii gx devices and c0 to c9 for arria ii gz devices) k ) icp ) and loop filter components ( r and c ) to facilitate reconfiguration of the pll bandwidth figure 5?39 shows how you can dynamically adjust the pll counter settings by shifting their new settings into a serial shift-register chain or scan chain. serial data is the input to the scan chain with the scandataport and shift registers are clocked by scanclk . the maximum scanclk frequency is 100 mhz. serial data is shifted through the scan chain as long as the scanclkena signal stays asserted. after the last bit of data is clocked, asserting the configupdate signal for at least one scanclk clock cycle causes the pll configuration bits to be synchronously updated with the data in the scan registers. figure 5?39. pll reconfiguration scan chain for arria ii devices (note 1) notes to figure 5?39 : (1) the arria ii gx plls and arria ii gz left and right plls support c0 to c6 counters. (2) for arria ii gx devices, i = 6. for arria ii gz devices, i = 6 or 9. (3) this figure shows the corresponding scan register for the k counter in between the scan registers for the charge pump and loop filter. the k counter is physically located after the vco. /ci (2) /ci-1 /c2 /c1 /c0 /m /n scanclk scandone scandata lf/k/cp (3) configupdate inclk pfd vco scanclkena scandataout from m counter from n counter chapter 5: clock networks and plls in arria ii devices 5?45 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration f for more information about the pll reconfiguration port signals, refer to the phase locked-loops reconfiguration (altpll_reconfig) megafunction user guide . 1 the counter settings are updated synchronously to the clock frequency of the individual counters. therefore, all counters are not simultaneously updated. to reconfigure the pll counters, follow these steps: 1. assert the scanclkena signal at least one scanclk cycle prior to shifting in the first bit of scandata ( dn for arria ii gx devices or d0 for arria ii gz devices). 2. serial data ( scandata ) is shifted into the scan chain on the second rising edge of scanclk . 3. for arria ii gx devices, after all 180 bits are scanned into the scan chain, the scanclkena signal is deasserted to prevent inadvertent shifting of bits in the scan chain. for arria ii gz devices, after all 234 bits (top and bottom plls) or 180 bits (left and right plls) have been scanned into the scan chain, the scanclkena signal is deasserted to prevent inadvertent shifting of bits in the scan chain. 4. the configupdate signal is asserted for one scanclk cycle to update the pll counters with the contents of the scan chain. 5. the scandone signal goes high indicating the pll is being reconfigured. a falling edge indicates the pll counters are updated with new settings. 6. reset the pll with the areset signal if you make any changes to the m , n, or post-scale output c counters or the icp , r , or c settings. 7. repeat steps 1 through 5 to reconfigure the pll any number of times. figure 5?40 shows a functional simulation of the pll reconfiguration feature. figure 5?40. pll reconfiguration waveform for arria ii devices scandata scanclk scanclkena scandataout configupdate scandone areset dn_old d0_old dn d0 dn 5?46 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation 1 when you reconfigure the counter clock frequency, you cannot reconfigure the corresponding counter phase shift settings with the same interface. instead, reconfigure the phase shifts in real time with the dynamic phase shift reconfiguration interface. if you reconfigure the counter frequency, but want to keep the same non-zero phase shift setting (for example, 90) on the clock output, you must reconfigure the phase shift immediately after reconfiguring the counter clock frequency. post-scale counters (c0 to c9) you can configure the multiply or divide values and duty cycle of post-scale counters in real time. each counter has an 8-bit high-time setting and an 8-bit low-time setting. the duty cycle is the ratio of output high- or low-time to the total cycle time, which is the sum of the two. additionally, these counters have two control bits, rbypass for bypassing the counter and rselodd to select the output clock duty cycle. when the rbypass bit is set to 1 , it bypasses the counter, resulting in a divide by 1. when this bit is set to 0 , the high- and low-time counters are added to compute the effective division of the vco output frequency. for example, if the post-scale divide factor is 10, the high- and low-count values could be set to 5 and 5, respectively, to achieve a 50-50% duty cycle. the pll implements this duty cycle by transitioning the output clock from high to low on the rising edge of the vco output clock. however, a 4 and 6 setting for the high- and low-count values, respectively, would produce an output clock with a 40-60% duty cycle. the rselodd bit indicates an odd divide factor for the vco output frequency along with a 50% duty cycle. for example, if the post-scale divide factor is 3, the high- and low-time count values could be set to 2 and 1, respectively, to achieve this division. this implies a 67%-33% duty cycle. if you require a 50%-50% duty cycle, you can set the rselodd control bit to 1 to achieve this duty cycle despite an odd division factor. the pll implements this duty cycle by transitioning the output clock from high to low on a falling edge of the vco output clock. when you set rselodd =1, you subtract 0.5 cycles from the high time and you add 0.5 cycles to the low time. for example: rselodd = 1 effectively equals: chapter 5: clock networks and plls in arria ii devices 5?47 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration scan chain description arria ii gx plls have a 180-bit scan chain. table 5?15 lists the number of bits for each component of an arria ii gx pll. the length of the scan chain varies for different arria ii gz plls. the top and bottom plls have ten post-scale counters and a 234-bit scan chain, while the left and right plls have seven post-scale counters and a 180-bit scan chain. table 5?15. pll reprogramming bits for arria ii gx devices block name number of bits total counter other (1) c6 (2) 16 2 18 c5 16 2 18 c4 16 2 18 c3 16 2 18 c2 16 2 18 c1 16 2 18 c0 16 2 18 m16218 n16218 charge pump current 0 3 3 vco post-scale divider (k) 1 0 1 loop filter capacitor (3) 022 loop filter resistor 0 5 5 unused cp/lf 0 7 7 total number of bits ? ? 180 notes to table 5?15 : (1) includes two control bits: rbypass for bypassing the counter and rselodd to select the output clock duty cycle. (2) the lsb for c6 low-count value is the first bit shifted into the scan chain. (3) the msb for loop filter is the last bit shifted into the scan chain. 5?48 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 5 ?1 6 lists the number of bits for each component of a arria ii gz pll. ta b l e 5 ?1 6 also lists the scan chain order of pll components for the top and bottom plls, which have 10 post-scale counters. the order of bits is the same for the left and right plls, but the reconfiguration bits start with the c6 post-scale counter. table 5?16. top and bottom pll reprogramming bits for arria ii gz devices block name number of bits to ta l counter other (1) c9 (2) 16 2 18 c8 16 2 18 c7 16 2 18 c6 (3) 16 2 18 c5 16 2 18 c4 16 2 18 c3 16 2 18 c2 16 2 18 c1 16 2 18 c0 16 2 18 m16218 n16218 charge pump current 0 3 3 vco post-scale divider ( k )101 loop filter capacitor (4) 02 2 loop filter resistor 0 5 5 unused cp/lf 0 7 7 total number of bits ? ? 234 notes to table 5?16 : (1) includes two control bits, rbypass for bypassing the counter, and rselodd to select the output clock duty cycle. (2) the lsb for the c9 low-count value is the first bit shifted into the scan chain for the top and bottom plls. (3) the lsb for the c6 low-count value is the first bit shifted into the scan chain for the left and right plls. (4) the msb for the loop filter is the last bit shifted into the scan chain. chapter 5: clock networks and plls in arria ii devices 5?49 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 5?41 shows the scan chain order of arria ii gx pll components which have seven post-scale counters. the reconfiguration bits start with the c6 post-scale counter. figure 5?42 shows the scan chain order of pll components for the top and bottom arria ii gz plls. figure 5?43 shows the scan chain bit-order sequence for post-scale counters in all arria ii plls. figure 5?41. scan chain order of pll components for arria ii gx plls datain msb lf k cp lsb n m c0 c1 c2 c3 c4 c5 c6 datao u t figure 5?42. scan chain order of pll components for top and bottom of arria ii gz plls (note 1) note to figure 5?43 : (1) the left and right plls have the same scan chain order. the post-scale counters end at c6. datain msb lf k cp lsb n m c0 c1 c2 c3 c4 c5 c6 c7 c8 datao u t c9 figure 5?43. scan chain bit-order sequence for post-scale counters in arria ii plls datain rbypass hb 7 hb 6 hb 5 hb 4 hb 3 hb 2 hb 1 hb 0 rselodd lb 7 lb 6 lb 5 lb 4 lb 3 lb 2 lb 1 lb 0 dataout 5?50 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation charge pump and loop filter you can reconfigure the charge pump and loop filter settings to update the pll bandwidth in real time. table 5?17 through ta b l e 5 ?1 9 show the possible settings for charge pump current ( icp ), loop filter resistor ( r ), and capacitor ( c ) values for arria ii plls. table 5?17. charge_pump_current bit settings for arria ii devices cp[2] cp[1] cp[0] decimal value for setting 000 0 001 1 011 3 111 7 table 5?18. loop_filter_r bit settings for arria ii devices lfr[4] lfr[3] lfr[2] lfr[1] lfr[ 0] decimal value for setting 00000 0 00011 3 00100 4 01000 8 10000 16 10011 19 10100 20 11000 24 11011 27 11100 28 11110 30 table 5?19. loop_filter_c bit settings for arria ii devices lfc[1] lfc[0] decimal value for setting 000 011 113 chapter 5: clock networks and plls in arria ii devices 5?51 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration bypassing pll bypassing a pll counter results in a multiply ( m counter) or a divide ( n and c0 to c9 counters) factor of one. ta b l e 5 ?2 0 lists the settings for bypassing the counters in arria ii plls. f for more information about how to use the pll scan chain bit settings, refer to the phase locked-loops reconfiguration (altpll_reconfig) megafunction user guide . 1 to bypass any of the pll counters, set the bypass bit to 1 , causing the values on the other bits to be ignored. to bypass the vco post-scale counter ( k ), set the corresponding bit to 0 . dynamic phase-shifting the dynamic phase-shifting feature allows the output phases of individual pll outputs to be dynamically adjusted relative to each other and to the reference clock without having to send serial data through the scan chain of the corresponding pll. this feature simplifies the interface and allows you to quickly adjust clock-to-out (t co ) delays by changing the output clock phase-shift in real time. this adjustment is achieved by incrementing or decrementing the vco phase-tap selection to a given c counter or to the m counter. the phase is shifted by 1/8 of the vco frequency at a time. the output clocks are active during this phase-reconfiguration process. ta b l e 5 ?2 1 lists the control signals that are used for dynamic phase-shifting. table 5?20. pll counter settings for arria ii devices pll scan chain bits [0..8] settings lsb msb description 0 (1) , x (2) xxxxxxx1 (3) pll counter bypassed x xxxxxxx0 (3) pll counter not bypassed because bit 8 ( msb ) is set to 0 notes to table 5?20 : (1) for arria ii gx devices. (2) for arria ii gz devices (3) counter-bypass bit. table 5?21. dynamic phase-shifting control signals for arria ii devices (part 1 of 2) signal name description source destination phasecounterselect[3:0] counter select. four bits decoded to select either the m or one of the c counters for phase adjustment. one address maps to select all c counters. this signal is registered in the pll on the rising edge of scanclk . logic array or i/o pins pll reconfiguration circuit phaseupdown selects dynamic phase shift direction; 1 = up; 0 = down. signal is registered in the pll on the rising edge of scanclk . logic array or i/o pin pll reconfiguration circuit phasestep logic high enables dynamic phase shifting. logic array or i/o pin pll reconfiguration circuit 5?52 chapter 5: clock networks and plls in arria ii devices plls in arria ii devices arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 5 ?2 2 lists the pll counter selection based on the corresponding phasecounterselect setting. to perform one dynamic phase-shift, follow these steps: 1. set phaseupdown and phasecounterselect as required. 2. assert phasestep for at least two scanclk cycles. each phasestep pulse allows one phase shift. 3. deassert phasestep after phasedone goes low. 4. wait for phasedone to go high. 5. repeat steps 1 through 4 as many times as required to perform multiple phase-shifts. scanclk free running clock from core used in combination with phasestep to enable, disable, or both dynamic phase shifting. shared with scanclk for dynamic reconfiguration. gclk, rclk, or i/o pin pll reconfiguration circuit phasedone when asserted, this indicates to the core logic that the phase adjustment is complete and the pll is ready to act on a possible second adjustment pulse. asserts based on internal pll timing. deasserts on the rising edge of scanclk . pll reconfiguration circuit logic array or i/o pins table 5?21. dynamic phase-shifting control signals for arria ii devices (part 2 of 2) signal name description source destination table 5?22. phase counter select mapping for arria ii devices (note 1) phasecounterselect[3] [2] [1] [0] selects 0 0 0 0 all output counters 0 001 m counter 0 010 c0 counter 0 011 c1 counter 0 100 c2 counter 0 101 c3 counter 0 110 c4 counter 0 111 c5 counter 1 000 c6 counter 1 001 c7 counter 1 010 c8 counter 1 011 c9 counter note to table 5?22 : (1) c7 to c9 counter are only available for arria ii gz devices. chapter 5: clock networks and plls in arria ii devices 5?53 plls in arria ii devices july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration phaseupdown and phasecounterselect signals are synchronous to scanclk and must meet the t su and t h requirements with respect to the scanclk edges. 1 you can repeat dynamic phase-shifting indefinitely. for example, in a design where the vco frequency is set to 1,000 mhz and the output clock frequency is set to 100 mhz, performing 40 dynamic phase shifts (each one yields 125 ps phase shift) results in shifting the output clock by 180, in other words, a phase shift of 5 ns. the phasestep signal is latched on the negative edge of scanclk (a,c) and must remain asserted for at least two scanclk cycles. de-assert phasestep after phasedone goes low. on the second scanclk rising edge (b,d) after phasestep is latched, the values of phaseupdown and phasecounterselect are latched and the pll starts dynamic phase-shifting for the specified counters and in the indicated direction. phasedone is de-asserted synchronous to scanclk at the second rising edge (b,d) and remains low until the pll finishes dynamic phase-shifting. depending on the vco and scanclk frequencies, phasedone low time may be greater than or less than one scanclk cycle. you can perform another dynamic phase-shift after the phasedone signal goes from low to high. each phasestep pulse enables one phase shift. phasestep pulses must be at least one scanclk cycle apart. figure 5?44 shows the dynamic phase shifting waveform. f for more information about the altpll_reconfig megawizard plug-in manager interface, refer to the phase locked-loops reconfiguration (altpll_reconfig) megafunction user guide . figure 5?44. dynamic phase shifting waveform for arria ii devices scanclk phasestep phaseupdown phasedone t phasedone goes low synchronous with scanclk ab c d phasecounterselect configphase 5?54 chapter 5: clock networks and plls in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation pll specifications f for more information about pll timing specifications, refer to the device datasheet for arria ii devices . document revision history ta b l e 5 ?2 3 lists the revision history for this chapter. table 5?23. document revision history date version changes july 2012 4.2 updated ?periphery clock networks? section. june 2011 4.1 december 2013 altera corporation arria ii device handbook volume 1: device interfaces and integration section ii. i/o interfaces for arria ii devices this section provides information on arria ? ii device i/o features, external memory interfaces, and high-speed differential interfaces with dpa. this section includes the following chapters: ii?2 section ii: i/o interfaces for arria ii devices revision history arria ii device handbook volume 1: device interfaces and integration december 2013 altera corporation arria ii device handbook volume 1: device interfaces and integration december 2011 aiigx51006-4.2 subscribe ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 6. i/o features in arria ii devices this chapter describes how arria ? ii devices provide i/o capabilities that allow you to work in compliance with current and emerging i/o standards and requirements. with these device features, you can reduce board design interface costs and increase development flexibility. package and die enhancements with dynamic termination and output control provide best-in-class signal integrity. numerous i/o features assist high-speed data transfer into and out of the device, including: december 2011 aiigx51006-4.2 6?2 chapter 6: i/o features in arria ii devices i/o standards support arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation i/o standards support ta b l e 6 ?1 lists the supported i/o standards for arria ii gx devices and the typical values for input and output v ccio , v ccpd , v ref , and board v tt . table 6?1. i/o standards and voltage levels for arria ii gx devices i/o standard standard support v ccio (v) v ccpd (v) v ref (v) v tt (v) input operation output operation 3.3-v lvttl/3.3-v lvcmos jesd8-b 3.3/3.0/2.5 3.3 3.3 ? ? 3.0-v lvttl/3.0-v lvcmos jesd8-b 3.3/3.0/2.5 3.0 3.0 ? ? 2.5-v lvttl/lvcmos jesd8-5 3.3/3.0/2.5 2.5 2.5 ? ? 1.8-v lvttl/lvcmos jesd8-7 1.8/1.5 1.8 2.5 ? ? 1.5-v lvcmos jesd8-11 1.8/1.5 1.5 2.5 ? ? 1.2-v lvcmos jesd8-12 1.2 1.2 2.5 ? ? 3.0-v pci pci rev 2.2 3.0 3.0 3.0 ? ? 3.0-v pci-x (1) pci-x rev 1.0 3.0 3.0 3.0 ? ? sstl-2 class i, ii jesd8-9b (2) 2.5 2.5 1.25 1.25 sstl-18 class i, ii jesd8-15 (2) 1.8 2.5 0.90 0.90 sstl-15 class i ? (2) 1.5 2.5 0.75 0.75 hstl-18 class i, ii jesd8-6 (2) 1.8 2.5 0.90 0.90 hstl-15 class i, ii jesd8-6 (2) 1.5 2.5 0.75 0.75 hstl-12 class i, ii jesd8-16a (2) 1.2 2.5 0.6 0.6 differential sstl-2 jesd8-9b (2) , (3) 2.5 2.5 ? 1.25 differential sstl-18 jesd8-15 (2) , (3) 1.8 2.5 ? 0.90 differential sstl-15 ? (2) , (3) 1.5 2.5 ? 0.75 differential hstl-18 jesd8-6 (2) , (3) 1.8 2.5 ? 0.90 differential hstl-15 jesd8-6 (2) , (3) 1.5 2.5 ? 0.75 differential hstl-12 jesd8-16a (2) , (3) 1.2 2.5 ? 0.60 lvds ansi/tia/ eia-644 (2) 2.5 2.5 ? ? rsds and mini-lvds ? ? 2.5 2.5 ? ? lvpecl ? (2) ?2.5?? blvds ? (2) 2.5 2.5 ? ? notes to table 6?1 : (1) pci-x does not meet the pci-x i-v curve requirement at the linear region. (2) single-ended sstl/hstl, differential sstl/hstl, lvds, lvpecl, and blvds input buffers are powered by v ccpd . (3) differential sstl/hstl inputs use lvds differential input buffers without r d oct support. chapter 6: i/o features in arria ii devices 6?3 i/o standards support december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 6 ?2 lists the supported i/o standards for arria ii gz devices and the typical values for input and output v ccio , v ccpd , v ref , and board v tt . table 6?2. i/o standards and voltage levels for arria ii gz devices (note 1) (part 1 of 2) i/o standard standard support v ccio (v) v ccpd (v) (pre- driver voltage) v ref (v) (input ref voltage) v tt (v) (board termination voltage) input operation output operation column i/o banks row i/o banks column i/o banks row i/o banks 3.3-v lvttl jesd8-b 3.0/2.5 3.0/2.5 3.0 3.0 3.0 ? ? 3.3-v lvcmos (3) jesd8-b 3.0/2.5 3.0/2.5 3.0 3.0 3.0 ? ? 2.5-v lvcmos jesd8-5 3.0/2.5 3.0/2.5 2.5 2.5 2.5 ? ? 1.8-v lvcmos jesd8-7 1.8/1.5 1.8/1.5 1.8 1.8 2.5 ? ? 1.5-v lvcmos jesd8-11 1.8/1.5 1.8/1.5 1.5 1.5 2.5 ? ? 1.2-v lvcmos jesd8-12 1.2 1.2 1.2 1.2 2.5 ? ? 3.0-v pci pci rev 2.1 3.0 3.0 3.0 3.0 3.0 ? ? 3.0-v pci-x pci-x rev 1.0 3.0 3.0 3.0 3.0 3.0 ? ? sstl-2 class i, ii jesd8-9b (2) (2) 2.5 2.5 2.5 1.25 1.25 sstl-18 class i, ii jesd8-15 (2) (2) 1.8 1.8 2.5 0.90 0.90 sstl-15 class i ? (2) (2) 1.5 1.5 2.5 0.75 0.75 sstl-15 class ii ? (2) (2) 1.5 ? 2.5 0.75 0.75 hstl-18 class i, ii jesd8-6 (2) (2) 1.8 1.8 2.5 0.90 0.90 hstl-15 class i jesd8-6 (2) (2) 1.5 1.5 2.5 0.75 0.75 hstl-15 class ii jesd8-6 (2) (2) 1.5 ? 2.5 0.75 0.75 hstl-12 class i jesd8-16a (2) (2) 1.2 1.2 2.5 0.6 0.6 hstl-12 class ii jesd8-16a (2) (2) 1.2 ? 2.5 0.6 0.6 differential sstl-2 class i, ii jesd8-9b (2) (2) 2.5 2.5 2.5 ? 1.25 differential sstl-18 class i, ii jesd8-15 (2) (2) 1.8 1.8 2.5 ? 0.90 differential sstl-15 class i ? (2) (2) 1.5 1.5 2.5 ? 0.75 differential sstl-15 class ii ? (2) (2) 1.5 ? 2.5 ? 0.75 differential hstl-18 class i, ii jesd8-6 (2) (2) 1.8 1.8 2.5 ? 0.90 differential hstl-15 class i jesd8-6 (2) (2) 1.5 1.5 2.5 ? 0.75 differential hstl-15 class ii jesd8-6 (2) (2) 1.5 ? 2.5 ? 0.75 differential hstl-12 class i jesd8-16a (2) (2) 1.2 1.2 2.5 ? 0.60 differential hstl-12 class ii jesd8-16a (2) (2) 1.2 ? 2.5 ? 0.60 6?4 chapter 6: i/o features in arria ii devices i/o standards support arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation f for detailed electrical characteristics of each i/o standard, refer to the device datasheet for arria ii devices . lvds (4) , (5) , (8) ansi/tia/ eia-644 (2) (2) 2.5 2.5 2.5 ? ? rsds (6) , (7) , (8) ? (2) (2) 2.5 2.5 2.5 ? ? mini-lvds (6) , (7) , (8) ? (2) (2) 2.5 2.5 2.5 ? ? lvpecl ? (4) 2.5 ? ? 2.5 ? ? notes to table 6?2 : (1) v ccpd is either 2.5 or 3.0 v. for v ccio = 3.0 v, v ccpd = 3.0 v. for v ccio = 2.5 v or less, v ccpd = 2.5 v. (2) single-ended hstl/sstl, differential sstl/hstl, and lvds input buffers are powered by v ccpd . row i/o banks support both true differential input buffers and true differential output buffers. column i/o banks support true differential input buffers, but not true differenti al output buffers. i/o pins are organized in pairs to support differential standards. column i/o differential hstl and sstl inputs use lvds differential in put buffers without r d oct support. (3) for more information about the 3.3-v lvttl/lvcmos standard supported in arria ii devices, refer to ?3.3-v i/o interface? on page 6?13 . (4) column i/o banks support lvpecl i/o standards for input clock operation. clock inputs on column i/os are powered by v ccclkin when configured as differential clock inputs. they are powered by v ccio when configured as single-ended clock inputs. differential clock inputs in row i/os are powered by v ccpd . (5) column and row i/o banks support lvds outputs using two single-ended output buffers, an external one-resistor (lvds_e_1r), a nd a three-resistor (lvds_e_3r) network. (6) row i/o banks support rsds and mini-lvds i/o standards using a true lvds output buffer without a resistor network. (7) column and row i/o banks support rsds and mini-lvds i/o standards using two single-ended output buffers with one-resistor (r sds_e_1r and mini-lvds_e_1r) and three-resistor (rsds_e_3r and mini-lvds_e_3r) networks. (8) the emulated differential output standard that supports the tri-state feature includes: lvds_e_1r, lvds_e_3r, rsds_e_1r, rsd s_e_3r, mini_lvds_e_1r, and mini_lvds_e_3r. for more information, refer to the i/o b u ffer (altiobuf) megaf u nction user g u ide . table 6?2. i/o standards and voltage levels for arria ii gz devices (note 1) (part 2 of 2) i/o standard standard support v ccio (v) v ccpd (v) (pre- driver voltage) v ref (v) (input ref voltage) v tt (v) (board termination voltage) input operation output operation column i/o banks row i/o banks column i/o banks row i/o banks chapter 6: i/o features in arria ii devices 6?5 i/o banks december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration i/o banks arria ii gx devices contain up to 16 i/o banks as shown in figure 6?1 . the left i/o banks are dedicated for high-speed transceivers. bank 3c and 8c are dedicated for configuration pins. the rest of the banks are user i/o banks that support all single-ended and differential i/o standards. figure 6?1. i/0 banks in arria ii gx devices (note 1) , (2) , (3) , (4) , (5) , (6) , (7) notes to figure 6?1 : (1) banks gxb0, gxb1, gxb2, and gxb3 are dedicated banks for high-speed transceiver i/os. (2) banks 3c and 8c are dedicated configuration banks and do not have user i/o pins. (3) lvds with dpa is supported at banks 5a, 5b, 6a, and 6b. (4) differential hstl and sstl inputs use lvds differential input buffers without r d oct support. (5) differential hstl and sstl outputs are not true differential outputs. they use two single-ended outputs with the second outp ut programmed as inverted. (6) figure 6?1 is a top view of the silicon die that corresponds to a reverse view for flip chip packages. it is a graphical representation o nly. (7) the pll_clkout pin supports only emulated differential i/o standard but not true differential i/o standard. gxb3 gxb2 gxb1 gxb0 bank 3c bank 3b bank 4b bank 4a bank 3a bank 6b bank 6a bank 5a bank 5b bank 8c bank 8b bank 7b bank 7a bank 8a these i/o banks support: 3.3-v lvttl/lvcmos, 3.0-v lvttl/lvcmos, 2.5-v lvttl/lvcmos, 1.8-v lvttl/lvcmos, 1.5-v lvcmos, 1.2-v lvcmos, true lvds, emulated lvds, blvds, rsds, mini-lvds, sstl-2, sstl-18, sstl-15, hstl-18, hstl-15, hstl-12, differential sstl-2, differenital sstl-18, differential sstl-15, differential hstl-18, differential hstl-15, and differential hstl-12 6?6 chapter 6: i/o features in arria ii devices i/o banks arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation arria ii gz devices contain up to 20 i/o banks as shown in figure 6?2 . each i/o bank can support high-performance external memory interfaces with dedicated circuitry. the i/o pins are organized in pairs to support differential standards. each i/o pin pair can support both differential input and output buffers except the clk[1,3,8,10] , pll_l[1,4]_clk , and pll_r[1,4]_clk pins, which support differential input operations only. figure 6?2. i/o banks in arria ii gz devices (note 1) , (2) , (3) , (4) , (5) , (6) , (7) , (8) notes to figure 6?2 : (1) differential hstl and sstl outputs are not true differential outputs. they use two single-ended outputs with the second outp ut programmed as inverted. (2) column i/o differential hstl and sstl inputs use lvds differential input buffers without r d oct support. (3) column i/o supports lvds outputs using single-ended buffers and external resistor networks. (4) column i/o supports pci/pci-x with an on-chip clamp diode. row i/o supports pci/pci-x with an external clamp diode. (5) clock inputs on column i/os are powered by v ccclkin when configured as differential clock inputs. they are powered by v ccio when configured as single-ended clock inputs. all outputs use the corresponding bank v ccio . (6) row i/o supports the true lvds output buffer. (7) column and row i/o banks support lvpecl standards for input clock operation. (8) figure 6?2 is a top view of the silicon die that corresponds to a reverse view for flip chip packages. it is a graphical representation o nly. bank 3a bank 3b bank 4b bank 4a bank 4c bank 3c i/o banks 8a, 8b & 8c support all single-ended and differential input and output operation. i/o banks 7a, 7b & 7c support all single-ended and differential input and output operation. i/o banks 3a, 3b & 3c support all single-ended and differential input and output operation. i/o banks 4a, 4b & 4c support all single-ended and differential input and output operation. bank 1a bank 1c bank 2c bank 2a bank 5a bank5c bank 6c bank 6a transceiver bank gxbr2 transceiver bank gxbr1 transceiver bank gxbr0 transceiver bank gxbl2 transceiver bank gxbl1 transceiver bank gxbl0 row i/o banks support lvttl, lvcmos, 2.5-v, 1.8- v, 1.5-v, 1.2-v, sstl-2 class i & ii, sstl-18 class i & ii, sstl-15 class i, hstl-18 class i & ii, hstl-15 class i, hstl-12 class i, lvds, rsds, mini-lvds, differential sstl-2 class i & ii, differential sstl-18 class i & ii, differential sstl-15 class i, differential hstl-18 class i & ii, differential hstl-15 class i and differential hstl-12 class i standards for input and output operation. sstl-15 class ii, hstl-15 class ii, hstl-12 class ii, differential sstl-15 class ii, differential hstl-15 class ii, differential hstl-12 class ii standards are only supported for input operations bank 8a bank 8b bank 7b bank 7a bank 7c bank 8c chapter 6: i/o features in arria ii devices 6?7 i/o banks december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration modular i/o banks the i/o pins in arria ii devices are arranged in groups called modular i/o banks. depending on the device densities, the number of i/o banks range from 6 to 20. ta b l e 6 ?3 and ta b l e 6? 4 show the number of i/o pins available in each i/o bank. table 6?3. available i/o pins in each arria ii gx i/o bank (note 1) package device bank total 3a 3b 4a 4b 5a 5b 6a 6b 7a 7b 8a 8b 358-pin flip chip ubga ep2agx45 22 ? 38 ? 18 ? 18 ? 38 ? 22 ? 156 ep2agx65 22 ? 38 ? 18 ? 18 ? 38 ? 22 ? 156 572-pin flip chip fbga ep2agx45 38 ? 38 ? 50 ? 50 ? 38 ? 38 ? 252 ep2agx65 38 ? 38 ? 50 ? 50 ? 38 ? 38 ? 252 ep2agx95 38 ? 42 ? 50 ? 50 ? 38 ? 42 ? 260 EP2AGX125 38 ? 42 ? 50 ? 50 ? 38 ? 42 ? 260 780-pin flip chip fbga ep2agx45 54 ? 70 ? 66 ? 50 ? 70 ? 54 ? 364 ep24gx65 54 ? 70 ? 66 ? 50 ? 70 ? 54 ? 364 ep2agx95 54 ? 74 ? 66 ? 50 ? 70 ? 58 ? 372 EP2AGX125 54 ? 74 ? 66 ? 50 ? 70 ? 58 ? 372 ep2agx190 54 ? 74 ? 66 ? 50 ? 70 ? 58 ? 372 ep2agx260 54 ? 74 ? 66 ? 50 ? 70 ? 58 ? 372 1152-pin flip chip fbga ep2agx95 70 ? 74 16 66 ? 66 ? 70 16 74 ? 452 EP2AGX125 70 ? 74 16 66 ? 66 ? 70 16 74 ? 452 ep2agx190 70 32 74 32 66 32 66 32 70 32 74 32 612 ep2agx260 70 32 74 32 66 32 66 32 70 32 74 32 612 note to table 6?3 : (1) the number of i/o pins include all general purpose i/os, dedicated clock pins, and dual-purpose configuration pins. transcei ver pins and dedicated configuration pins are not included in the i/o pin count. 6?8 chapter 6: i/o features in arria ii devices i/o banks arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation table 6?4. available i/o pins in each arria ii gz i/o bank (note 1) package device bank total 1a 1c 2a 2c 3a 3b 3c 4a 4b 4c 5a 5c 6a 6c 7a 7b 7c 8a 8b 8c 780-pin flip chip fbga ep2agz300 ? 1 ? ? 40 ? 28 40 ? 30 ? ? ? ? 40 ? 30 40 ? 32 281 ep2agz350 ? 1 ? ? 40 ? 28 40 ? 30 ? ? ? ? 40 ? 30 40 ? 32 281 1152-pin flip chip fbga ep2agz225 46 42 ? ? 40 24 30 40 24 30 ? ? 46 42 40 24 30 40 24 32 554 ep2agz300 46 42 ? ? 40 24 30 40 24 30 ? ? 46 42 40 24 30 40 24 32 554 ep2agz350 46 42 ? ? 40 24 30 40 24 30 ? ? 46 42 40 24 30 40 24 32 554 1517-pin flip chip fbga ep2agz225 46 42 48 42 40 24 30 40 24 30 48 42 46 42 40 24 30 40 24 32 734 ep2agz300 46 42 48 42 40 24 30 40 24 30 48 42 46 42 40 24 30 40 24 32 734 ep2agz350 46 42 48 42 40 24 30 40 24 30 48 42 46 42 40 24 30 40 24 32 734 note to table 6?4 : (1) the number of i/o pins include all general purpose i/os, dedicated clock pins, and dual-purpose configuration pins. transcei ver pins and dedicated configuration pins are not included in the i/o pin count. chapter 6: i/o features in arria ii devices 6?9 i/o banks december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration in arria ii devices, the maximum number of i/o banks per side, excluding the configuration banks, is either four or six, depending on the device density. all arria ii devices support migration across device densities and packages. when migrating between devices with a different number of i/o banks per side, it is the "b" bank that is removed or inserted. for example, when moving from a 12-bank device to an 8-bank device, the banks that are dropped are "b" banks, namely: 3b, 5b, 6b, and 8b. similarly, when moving from an 8-bank device to a 12-bank device, the banks that are added are "b" banks, namely: 3b, 5b, 6b, and 8b. during migration from a smaller device to a larger device, the bank size increases or remains the same but never decreases. table 6?5 and table 6?6 list the pin migration across device densities and packages. table 6?5. pin migration across densities in arria ii gx devices (note 1) package pin type device ep2agx45 ep2agx65 ep2agx95 EP2AGX125 ep2agx190 ep2agx260 358-pin flip chip ubga i/o 144144???? clock 1212???? xcvr channel 4 4 ???? 572-pin flip chip fbga i/o 240 240 248 248 ? ? clock 12121212?? xcvr channel 8888?? 780-pin flip chip fbga i/o 352 352 360 360 360 360 clock 121212121212 xcvr channel 8 8 12 12 12 12 1152-pin flip chip fbga i/o ? ? 440 440 600 600 clock ??12121212 xcvr channel ? ? 12 12 16 16 note to table 6?5 : (1) each transceiver channel consists of two transmit (tx) pins, two receive (rx) pins and a transceiver clock pin. table 6?6. pin migration across densities in arria ii gz devices (note 1) (part 1 of 2) package pin type device ep2agz225 ep2agz300 ep2agz350 780-pin flip chip fbga i/o ? 280 280 clock ? 1 1 xvcr channel ? 16 16 1152-pin flip chip fbga i/o 550 550 550 clock 4 4 4 xvcr channel 16 16 16 6?10 chapter 6: i/o features in arria ii devices i/o structure arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation i/o structure the i/o element (ioe) in the arria ii devices contains a bidirectional i/o buffer and i/o registers to support a completely embedded bidirectional single data rate (sdr) or double data rate (ddr) transfer. the ioes are located in i/o blocks around the periphery of the arria ii device. there are up to four ioes per row i/o block and four ioes per column i/o block. the row ioes drive row, column, or direct link interconnects. the column ioes drive column interconnects. the arria ii bidirectional ioe supports the following features: note to table 6?6 : (1) each transceiver channel consists of two tx pins, two rx pins and a transceiver clock pin. table 6?6. pin migration across densities in arria ii gz devices (note 1) (part 2 of 2) package pin type device ep2agz225 ep2agz300 ep2agz350 chapter 6: i/o features in arria ii devices 6?11 i/o structure december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration i/o registers are composed of the input path for handling data from the pin to the core, the output path for handling data from the core to the pin, and the output enable path for handling the oe signal to the output buffer. these registers allow faster source-synchronous register-to-register transfers and resynchronization. you can bypass each block of the output and output enable paths. figure 6?3 and figure 6?4 show the arria ii ioe structure. figure 6?3. ioe structure for arria ii gx devices o u tp u t pin delay prn q d prn oe register output register programmable current strength and slew rate control open drain input buffer input register input register input register bus-hold circuit output buffer from oct calobration block programmable pull-up resistor v ccio v ccio oe from core write data form core clkin to core to core read data to core dqs cqn output register oe register q d prn q d prn q d prn q d prn q d prn q d inp u t pin delay to internal cells inp u t pin delay to inp u t register synchronization registers on-chip termination o u tp u t ena b le pin delay dqs b u s to inp u t register delay pci clamp 6?12 chapter 6: i/o features in arria ii devices i/o structure arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation f for more information about i/o registers and how they are used for memory applications, refer to the external memory interfaces in arria ii devices chapter. figure 6?4. ioe structure for arria ii gz devices (note 1) , (2) notes to figure 6?4 : (1) the d3_0 and d3_1 delays have the same available settings in the quartus ? ii software. (2) one dynamic oct control is available per dq/dqs group. 2 oe from core 4 open drain on-chip termination bus-hold circuit programmable current strength and slew rate control pci clamp v ccio v ccio programmable pull-up resistor half data rate block half data rate block write data from core 4 half data rate block prn dq prn dq prn dq prn dq prn dq oe register oe register output register output register clkout to core to core d5, d6 delay input register prn d q input register prn d q input register clkin d5, d6 delay read data to core from oct calibration block d2 delay d3_0 delay d3_1 delay d1 delay output buffer input buffer d5_oct d6_oct firm core dqs logic block dynamic oct control (2) d4 delay dqs cqn chapter 6: i/o features in arria ii devices 6?13 i/o structure december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration 3.3-v i/o interface arria ii i/o buffers support 3.3-v i/o standards. you can use them as transmitters or receivers in your system. the output high voltage (v oh ), output low voltage (v ol ), input high voltage (v ih ), and input low voltage (v il ) levels meet the 3.3-v i/o standard specifications defined by eia/jedec standard jesd8-b with margin when the v ccio voltage is powered by 3.3 v or 3.0 v for arria ii gx devices and 3.0 v only for arria ii gz devices. to ensure device reliability and proper operation when interfacing a 3.3-v i/o system with arria ii devices, do not exceed the absolute maximum ratings. altera recommends performing ibis simulation to determine that the overshoot and undershoot voltages are within the guidelines. when you use the arria ii device as a transmitter, techniques to limit overshoot and undershoot at the i/o pins include using slow slew rate and series termination. transmission line effects that cause large voltage deviations at the receiver are associated with an impedance mismatch between the driver and transmission line. by matching the impedance of the driver to the characteristic impedance of the transmission line, you can significantly reduce overshoot voltage. you can use a series termination resistor placed physically close to the driver to match the total driver impedance to transmission line impedance. other than 3.3-v lvttl and 3.3-v lvcmos i/o standards, arria ii devices support r s oct for all lvttl/lvcmos i/o standards in all i/o banks. when you use the arria ii device as a receiver, use a clamping diode (on-chip or off-chip) to limit overshoot. arria ii devices provide an optional on-chip pci clamp diode for i/o pins. you can use this diode to protect i/o pins against overshoot voltage. another method for limiting overshoot is to use a 3.0-v v ccio bank supply voltage. in this method, the clamp diode (on-chip or off-chip), can sufficiently clamp overshoot voltage in the dc- and ac-input voltage specification. the clamped voltage can be expressed as the sum of the supply voltage (v ccio ) and the diode forward voltage. by using the v ccio at 3.0 v, you can reduce overshoot and undershoot for all i/o standards, including 3.3-v lvttl/lvcmos, 3.0-v lvttl/lvcmos, and 3.0-v pci/pci-x. additionally, lowering v ccio to 3.0 v reduces power consumption. f for more information about the absolute maximum rating and maximum allowed overshoot during transitions, refer to the devices datasheet for arria ii devices chapter. external memory interfaces in addition to i/o registers in each ioe, arria ii devices also have dedicated registers and phase-shift circuitry on all i/o banks for interfacing with external memory interfaces. f for more information about external memory interfaces, refer to the external memory interfaces in arria ii devices chapter . 6?14 chapter 6: i/o features in arria ii devices i/o structure arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation high-speed differential i/o with dpa support arria ii devices have the following dedicated circuitry for high-speed differential i/o support: f for more information about dpa support, refer to the high-speed differential i/o interfaces and dpa in arria ii devices chapter. programmable current strength the output buffer for each arria ii i/o pin has a programmable current-strength control for certain i/o standards. you can use programmable current strength to mitigate the effects of high signal attenuation due to a long transmission line or a legacy backplane. the lvttl, lvcmos, sstl, and hstl standards have several levels of current strength that you can control. table 6?7 and table 6?8 list the programmable current strength settings for arria ii devices. table 6?7. programmable current strength for arria ii gx devices (note 1) (part 1 of 2) i/o standard i ol / i oh current strength setting (ma) for top, bottom, and right i/o pins 3.3-v lvttl (2) [12], 8, 4 3.3-v lvcmos (2) [2] 3.0-v lvttl 16, 12, 8, 4 3.0-v lvcmos 16, 12, 8, 4 2.5-v lvttl/lvcmos 16, 12, 8, 4 1.8-v lvttl/lvcmos 16, 12, 10, 8, 6, 4, 2 1.5-v lvcmos 16, 12, 10, 8, 6, 4, 2 1.2-v lvcmos 12, 10, 8, 6, 4, 2 sstl-2 class i 12, 8 sstl-2 class ii 16 sstl-18 class i 12, 10, 8 sstl-18 class ii 16, 12 sstl-15 class i 12, 10, 8 hstl-18 class i 12, 10, 8 hstl-18 class ii 16 hstl-15 class i 12, 10, 8 hstl-15 class ii 16 chapter 6: i/o features in arria ii devices 6?15 i/o structure december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 altera recommends performing ibis or spice simulations to determine the right current strength setting for your specific application. hstl-12 class i 12, 10, 8 hstl-12 class ii 16 blvds 8, 12, 16 notes to table 6?7 : (1) the default current strength setting in the quartus ii software is 50- ? ? (note 1) , (2) i/o standard i oh / i ol current strength setting (ma) for column i/o pins i oh / i ol current strength setting (ma) for row i/o pins 3.3-v lvttl 16, 12, 8, 4 12, 8, 4 3.3-v lvcmos 16, 12, 8, 4 8, 4 2.5-v lvcmos 16, 12, 8, 4 12, 8, 4 1.8-v lvcmos 12, 10, 8, 6, 4, 2 8, 6, 4, 2 1.5-v lvcmos 12, 10, 8, 6, 4, 2 8, 6, 4, 2 1.2-v lvcmos 8, 6, 4, 2 4, 2 sstl-2 class i 12, 10, 8 12, 8 sstl-2 class ii 16 16 sstl-18 class i 12, 10, 8, 6, 4 12, 10, 8, 6, 4 sstl-18 class ii 16, 8 16, 8 sstl-15 class i 12, 10, 8, 6, 4 8, 6, 4 sstl-15 class ii 16, 8 ? hstl-18 class i 12, 10, 8, 6, 4 12, 10, 8, 6, 4 hstl-18 class ii 16 16 hstl-15 class i 12, 10, 8, 6, 4 8, 6, 4 hstl-15 class ii 16 ? hstl-12 class i 12, 10, 8, 6, 4 8, 6, 4 hstl-12 class ii 16 ? notes to table 6?8 : (1) the default setting in the quartus ii software is 50- ? ? (note 1) (part 2 of 2) i/o standard i ol / i oh current strength setting (ma) for top, bottom, and right i/o pins 6?16 chapter 6: i/o features in arria ii devices i/o structure arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation programmable slew rate control the output buffer for each arria ii device regular- and dual-function i/o pin has a programmable output slew rate control that you can configure for low-noise or high-speed performance. a faster slew rate provides high-speed transitions for high-performance systems. a slow slew rate can help reduce system noise, but adds a nominal delay to the rising and falling edges. each i/o pin has an individual slew rate control, allowing you to specify the slew rate on a pin-by-pin basis. 1 you cannot use the programmable slew rate feature with r s oct. ta b l e 6 ?9 lists the default slew rate settings from the quartus ii software. you can use faster slew rates to improve the available timing margin in memory-interface applications or when the output pin has high-capacitive loading. 1 altera recommends performing ibis or spice simulations to determine the right slew rate setting for your specific application. open-drain output arria ii devices provide an optional open-drain output (equivalent to an open collector output) for each i/o pin. when configured as open drain, the logic value of the output is either high-z or 0. you must use an external pull-up resistor to pull the high-z output to logic high. table 6?9. default slew rate settings for arria ii devices i/o standard arria ii gx device arria ii gz device slew rate option default slew rate (fast) slew rate option default slew rate (fast) 1.2-v, 1.5-v, 1.8-v, 2.5-v lvcmos, and 3.3-v lvttl/lvcmos (1) 0, 1 1 0, 1, 2, 3 3 sstl-2, sstl-18, sstl-15, hstl-18, hstl-15, and hstl-12 1 1 0, 1, 2, 3 3 3.0-v pci/pci-x 0, 1 1 0, 1, 2, 3 3 lvds_e_1r, mini-lvds_e_1r, and rsds_e_1r (2) 1 1 0, 1, 2, 3 3 lvds_e_3r, mini-lvds_e_3r, and rsds_e_3r 1 1 0, 1, 2, 3 3 notes to table 6?9 : (1) programmable slew rate is not supported for 3.3-v lvttl/lvcmos in arria ii gx devices. (2) lvds_e_1r and mini-lvds_e_1r is not supported in arria ii gx devices. chapter 6: i/o features in arria ii devices 6?17 i/o structure december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration bus hold each arria ii device i/o pin provides an optional bus-hold feature. bus-hold circuitry can weakly hold the signal on an i/o pin at its last-driven state. because the bus-hold feature holds the last-driven state of the pin until the next input signal is present, an external pull-up or pull-down resistor is not required to hold a signal level when the bus is tri-stated. bus-hold circuitry also pulls non-driven pins away from the input threshold voltage where noise can cause unintended high-frequency switching. you can select this feature individually for each i/o pin. the bus-hold output drives no higher than v ccio to prevent over-driving signals. if you enable the bus-hold feature, you cannot use the programmable pull-up option. the bus-hold feature is disabled if the i/o pin is configured for differential signals. bus-hold circuitry uses a resistor with a nominal resistance to weakly pull the last-driven state and is active only after configuration. when going into user mode, the bus-hold circuit captures the value on the pin present at the end of configuration. f for more information about the specific sustaining current driven through this resistor and the overdrive current used to identify the next-driven input level, refer to device datasheet for arria ii devices chapter. programmable pull-up resistor each arria ii device i/o pin provides an optional programmable pull-up resistor during user mode. if you enable this feature for an i/o pin, the pull-up resistor weakly holds the i/o to the v ccio level. programmable pull-up resistors are only supported on user i/o pins and are not supported on dedicated configuration pins, jtag pins, or dedicated clock pins. if you enable the programmable pull-up option, you cannot use the bus-hold feature. programmable pre-emphasis arria ii lvds transmitters support programmable pre-emphasis to compensate the frequency dependent attenuation of the transmission line. for programmable pre-emphasis control, the quartus ii software allows two settings for arria ii gx devices and four settings for arria ii gz devices. f for more information about programmable pre-emphasis, refer to the high-speed differential i/o interfaces and dpa in arria ii devices chapter. programmable differential output voltage arria ii lvds transmitters support programmable v od . programmable v od settings allow you to adjust output eye height to optimize trace length and power consumption. a higher v od swing improves voltage margins at the receiver end, while a smaller v od swing reduces power consumption. f for more information about programmable v od , refer to the high-speed differential i/o interfaces and dpa in arria ii devices chapter. 6?18 chapter 6: i/o features in arria ii devices i/o structure arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation multivolt i/o interface arria ii architecture supports the multivolt i/o interface feature that allows arria ii devices in all packages to interface with systems of different supply voltages. you can connect the vccio pins to a power supply voltage level listed in table 6?10 , depending on the output requirements. the output levels are compatible with systems of the same voltage as the power supply. (for example, when vccio pins are connected to a 1.5-v power supply, the output levels are compatible with 1.5-v systems). you must connect the arria ii gx vccpd power pins to a 2.5-, 3.0-, or 3.3-v power supply and the arria ii gz vccpd power pins to a 2.5- or 3.0-v power supply. using these power pins to supply the pre-driver power to the output buffers increases the performance of the output pins. table 6?10 lists the arria ii multivolt i/o support. table 6?10. multivolt i/o support for arria ii devices (note 1) vccio (v) (2) input signal (v) output signal (v) 1.2 1.5 1.8 2.5 3.0 3.3 1.2 1.5 1.8 2.5 3.0 3.3 1.2 v ?? ?? ? v ????? 1.5 ? vv ?? ? ? v ???? 1.8 ? vv ?? ? ? ? v ??? 2.5 ? ? ? v v (3) (4) v (3) (4) ??? v ?? 3.0 ? ? ? vv (4) v (4) ??? ? v ? 3.3 (5) ?? ? vv (4) v (4) ??? ?? v notes to table 6?10 : (1) the pin current may be slightly higher than the default value. you must verify that the driving device?s v ol maximum and v oh minimum voltages do not violate the applicable arria ii v il maximum and v ih minimum voltage specifications. (2) each i/o bank of an arria ii device has its own vccio pins and supports only one v ccio , either 1.2, 1.5, 1.8, 2.5, 3.0, or 3.3 v. the lvds i/o standard is not supported when v ccio is 3.0 or 3.3 v. the lvds input operations are supported when v ccio is 1.2, 1.5, 1.8, or 2.5 v. the lvds output operations are only supported when v ccio is 2.5 v. (3) altera recommends using an external clamp diode when v ccio is 2.5 v and the input signal is 3.0 or 3.3 v. (4) altera recommends using an external clamp diode on the row i/o pins when the input signal is 3.0 or 3.3 v for arria ii gz dev ices. (5) not applicable for arria ii gz devices. chapter 6: i/o features in arria ii devices 6?19 oct support december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration oct support arria ii devices feature oct to provide i/o impedance matching and termination capabilities. oct maintains signal quality, saves board space, and reduces external component costs. arria ii devices support the following features: rup and rdn pins are available in a given i/o bank for arria ii gx series-calibrated termination and shared for arria ii gz series- and parallel-calibrated termination. rup and rdn pins share the same v ccio and gnd, respectively, with the i/o bank where they are located. rup and rdn pins are dual-purpose i/os, and function as regular i/os if you do not use the calibration circuit. for r s oct, the connections are as follows: rup pin is connected to v ccio through an external 25- ?? ?? ? ? rdn pin is connected to gnd through an external 25- ?? ?? ?? ? rup pin is connected to v ccio through an external 50- ? rdn pin is connected to gnd through an external 50- ? 6?20 chapter 6: i/o features in arria ii devices oct support arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation the r s shown in figure 6?5 is the intrinsic impedance of output transistors. the typical r s values are 25 ?? ? 50- ?? on-chip series termination setting, thus eliminating the external 25- ? ? 5- ? on-chip series termination setting (to match the 50- ? ? ? ? rup and rdn pins, and dynamically enables or disables the transistors until they match. the r s shown in figure 6?6 is the intrinsic impedance of transistors. calibration occurs at the end of device configuration. when the calibration circuit finds the correct impedance, it powers down and stops changing the characteristics of the drivers. figure 6?5. r s oct without calibration for arria ii devices figure 6?6. r s oct with calibration for arria ii devices arria ii gx driver series termination receiving device v ccio r s r s gnd = 50 ? z o arria ii driver series termination receiving device v ccio r s r s gnd = 50 ? z o chapter 6: i/o features in arria ii devices 6?21 oct support december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 6 ?11 lists the i/o standards that support r s oct with and without calibration. left-shift r s oct control for arria ii gz devices arria ii gz devices support left-shift series termination control. you can use left-shift series termination control to get the calibrated r s oct with half of the impedance value of the external reference resistors connected to the rup and rdn pins. this feature is useful in applications that require both 25- ? ? ? ? ? ? ? (1) , (2) 50 50 25 25 3.0-v lvttl/lvcmos 50 50 25 25 2.5-v lvttl/lvcmos 50 50 25 25 1.8-v lvttl/lvcmos 50 50 25 25 1.5-v lvcmos 50 50 25 (3) 25 1.2-v lvcmos 50 50 25 (3) 25 sstl-2 class i 50 50 sstl-2 class ii 25 25 sstl-18 class i 50 50 sstl-18 class ii 25 25 sstl-15 class i 50 50 sstl-15 class ii (2) ?25 hstl-18 class i 50 50 hstl-18 class ii 25 25 hstl-15 class i 50 50 hstl-15 class ii 25 (3) 25 hstl-12 class i 50 50 hstl-12 class ii 25 (3) 25 notes to table 6?11 : (1) the 3.3-v lvttl/lvcmos standard is supported using v ccio at 3.0 v. (2) applicable for arria ii gz devices only. (3) applicable for arria ii gx devices only. 6?22 chapter 6: i/o features in arria ii devices oct support arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation you can enable the left-shift series termination control feature in the altiobuf megafunction in the quartus ii software. the quartus ii software only allows left-shift series termination control for 25- ? ? rup and rdn pins. you can only use left-shift series termination control for the i/o standards that support 25- ? 1 this feature is automatically enabled if you are using a bidirectional i/o with 25- ? ? f for more information about how to enable the left-shift series termination feature in the altiobuf megafunction, refer to the i/o buffer (altiobuf) megafunction user guide . expanded r s oct with calibration for arria ii gz devices oct calibration circuits always adjust r s oct to match the external resistors connected to the rup and rdn pin; however, it is possible to achieve r s oct values other than the 25- ? ? rup and rdn pins accordingly. practically, the r s oct range that arria ii gz devices support is limited because of output buffer size and granularity limitations. the quartus ii software only allows discrete r s oct calibration settings of 25, 40, 50, and 60 ? ? 25- ? r s oct with calibration setting in the quartus ii software to achieve the closest timing. ta b l e 6 ?1 2 lists expanded r s oct with calibration supported in arria ii devices. use expanded r s oct with calibration of sstl and hstl for impedance matching to improve signal integrity but do not use it to meet the jedec standard. table 6?12. selectable i/o standards with expanded r s oct with calibration range for arria ii gz devices i/o standard expanded r s oct range row i/o ( ? ? chapter 6: i/o features in arria ii devices 6?23 oct support december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration r d oct for arria ii lvds input i/o standard all i/o banks in arria ii gx devices support input r d oct with a nominal resistance value of 100 ? f for more information about r d oct, refer to the high-speed differential i/o interfaces and dpa in arria ii devices chapter . r t oct with calibration for arria ii gz devices arria ii gz devices support r t oct with calibration in all banks. r t oct with calibration is only supported for input configuration of input and bidirectional pins. output pin configurations do not support r t oct with calibration. figure 6?8 shows r t oct with calibration. when you use r t oct, the v ccio of the bank must match the i/o standard of the pin where the r t oct is enabled. figure 6?7. differential input on-chip termination for arria ii devices transmitter receiver 100 = 50 z o = 50 z o figure 6?8. r t oct with calibration for arria ii gz devices transmitter receiver gnd = 50 ? z o v ccio 100 ? 100 arria ii gz oct v ref ? 6?24 chapter 6: i/o features in arria ii devices oct support arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation the r t oct calibration circuit compares the total impedance of the i/o buffer to the external 50- ? rup and rdn pins and dynamically enables or disables the transistors until they match. calibration occurs at the end of device configuration. when the calibration circuit finds the correct impedance, it powers down and stops changing the characteristics of the drivers. ta b l e 6 ?1 3 lists the i/o standards that support r t oct with calibration. dynamic r s and r t oct for single-ended i/o standard for arria ii gz devices arria ii gz devices support on and off dynamic termination, both series and parallel, for a bidirectional i/o in all i/o banks. figure 6?9 shows the termination schemes supported in arria ii gz devices. dynamic parallel termination is enabled only when the bidirectional i/o acts as a receiver and is disabled when it acts as a driver. similarly, dynamic series termination is enabled only when the bidirectional i/o acts as a driver and is disabled when it acts as a receiver. this feature is useful for terminating any high-performance bidirectional path because signal integrity is optimized depending on the direction of the data. using dynamic oct helps save power because device termination is internal instead of external. termination only switches on during input operation, thus drawing less static power. table 6?13. selectable i/o standards with r t oct with calibration for arria ii gz devices i/o standard r t oct setting (column i/o) ( ? ? chapter 6: i/o features in arria ii devices 6?25 oct support december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration f for more information about tolerance specifications for oct with calibration, refer to the device datasheet for arria ii devices chapter. figure 6?9. dynamic r t oct in arria ii gz devices receiver vccio gnd arria ii gz oct arria ii gz oct arria ii gz oct arria ii gz oct transmitter receiver transmitter vccio gnd v c c i o g n d g n d v c c i o 100 ? 100 ? 100 ? 100 ? 100 1 0 0 ? ? ? ? ? ? 50 ? 50 5 0 ? ? z o z o = 50 ? 6?26 chapter 6: i/o features in arria ii devices arria ii oct calibration arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation arria ii oct calibration arria ii gx devices support calibrated r s oct and arria ii gz devices support calibrated r s and r t oct on all i/o pins. you can calibrate the i/o banks with any of the oct calibration blocks available in the device provided the v ccio of the i/o bank with the pins using calibrated oct matches the v ccio of the i/o bank with the calibration block and its associated rup and rdn pins. f for more information about the location of the oct calibration blocks in arria ii devices, refer to the arria ii device family connection guidelines and arria ii device pin-outs . oct calibration block an oct calibration block has the same v ccio as the i/o bank that contains the block. r s oct calibration is supported on all user i/o banks with different v ccio voltage standards, up to the number of available oct calibration blocks. you can configure i/o banks to receive calibrated codes from any oct calibration block with the same v ccio . all i/o banks with the same v ccio can share one oct calibration block, even if that particular i/o bank has an oct calibration block. for example, figure 6?10 shows a group of i/o banks that has the same v ccio voltage. if a group of i/o banks has the same v ccio voltage, you can use one oct calibration block to calibrate the group of i/o banks placed around the periphery. because banks 3b, 4c, 6c, and 7b have the same v ccio as bank 7a, you can calibrate all four i/o banks (3b, 4c, 6c, and 7b) with the oct calibration block (cb7) located in bank 7a. you can enable this by serially shifting out r s oct calibration codes from the oct calibration block located in bank 7a to the i/o banks located around the periphery. 1 i/o banks that do not contain calibration blocks share calibration blocks with i/o banks that do contain calibration blocks. chapter 6: i/o features in arria ii devices 6?27 arria ii oct calibration december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 6?10 is a top view example of the arria ii gz silicon die that corresponds to a reverse view for flip chip packages. it is a graphical representation only. this figure does not show transceiver banks and transceiver calibration blocks. f for more information about the oct calibration block, refer to the alt_oct megafunction user guide . figure 6?10. example of calibrating multiple i/o banks with one shared oct calibration block in arria ii gz devices arria ii gz device bank 8a bank 8c bank 8b bank 7b bank 7c bank 1a bank 1c bank 2a bank 2c bank 3a bank 3c bank 3b bank 4a bank 4b bank 4c bank 6a bank 6c bank 5a bank 5c bank 7a cb 7 i/o bank with the same v ccio i/o bank with different v ccio 6?28 chapter 6: i/o features in arria ii devices termination schemes for i/o standards arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation termination schemes for i/o standards the following section describes the different termination schemes for i/o standards used in arria ii devices. single-ended i/o standards termination voltage-referenced i/o standards require both an input reference voltage (v ref ) and a termination voltage (v tt ). the reference voltage of the receiving device tracks the termination voltage of the transmitting device. figure 6?11 shows the details of sstl i/o termination on arria ii devices. figure 6?11. sstl i/o standard termination for arria ii devices note to figure 6?11 : (1) applicable to arria ii gz devices only. sstl class i sstl class ii external on-board termination oct transmit oct receive (1) oct in bi- directional pins (1) v tt 50 ? 25 ? 50 ? v tt 50 ? 25 ? 50 ? v tt 50 ? transmitter transmitter receiver receiver v tt 50 ? 50 8 50 ? transmitter receiver series oct 50 ? v tt 50 ? 50 ? v tt 50 ? transmitter receiver 25 series oct v ccio 100 ? 25 ? 50 ? transmitter receiver parallel oct 100 ? v ccio 100 ? 25 ? 50 ? transmitter receiver parallel oct 100 ? v tt 50 ?? v ccio 100 ? 50 100 ? v ccio 100 ? 100 ? v ref v ref v ref v ref v ref v ref v ref termination series oct 50 ? series oct 50 ? v ccio 100 ? 50 ? 100 ? v ccio 100 ? 100 ? series oct 25 ? series oct 25 ? transmitter receiver transmitter receiver chapter 6: i/o features in arria ii devices 6?29 termination schemes for i/o standards december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 6?12 shows the details of hstl i/o termination on arria ii devices. figure 6?12. hstl i/o standard termination for arria ii devices note to figure 6?12 : (1) applicable to arria ii gz devices only. hstl class i hstl class ii external on-board termination oct transmit oct receive (1) oct in bi- directional pins (1) v tt 50 ? 50 ? v tt 50 ? 50 ? v tt 50 ? transmitter transmitter receiver receiver v tt 50 ? 50 ? transmitter receiver v tt 50 ? 50 ? v tt 50 ? transmitter receiver v ccio 100 ? 50 ? transmitter receiver parallel oct 100 ? v ccio 100 ? 50 ? transmitter receiver parallel oct 100 ? v tt 50 ? v ccio 100 ? 50 ? 100 ? v ccio 100 ? 100 ? series oct 50 ? series oct 25 ? v ref v ref v ref v ref v ref v ref termination series oct 50 ? series oct 50 ? v ccio 100 ? 50 8 100 ? v ccio 100 ? 100 ? series oct 25 ? series oct 25 ? transmitter receiver transmitter receiver 6?30 chapter 6: i/o features in arria ii devices termination schemes for i/o standards arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation differential i/o standards termination arria ii devices support differential sstl-2 and sstl-18, differential hstl-18, hstl-15, hstl-12, lvds, lvpecl, rsds, and mini-lvds. figure 6?13 through figure 6?14 show the details of various differential i/o terminations on arria ii devices. 1 differential hstl and sstl outputs are not true differential outputs. they use two single-ended outputs with the second output programmed as inverted. figure 6?13 shows the details of differential sstl i/o standard termination on arria ii devices. figure 6?13. differential sstl i/o standard termination for arria ii devices differential sstl class i differential sstl class ii external on-board termination r oct for arria ii gx devices s r oct and r oct for arria ii gz devices s transmitter receiver 50 50 50 50 v tt v tt 25 25 transmitter receiver 50 50 50 50 v tt v tt 25 25 50 50 v tt v tt 50 50 termination transmitter receiver z 0 = 50 z 0 = 50 25 series oct 25 series oct v tt v tt differential sstl class ii transmitter receiver z 0 = 50 z 0 = 50 50 series oct 50 series oct differential sstl class i 50 v tt 50 v tt v tt v tt 50 50 50 ? 50 ? transmitter receiver z 0 = 50 ? z 0 = 50 ? v ccio v ccio gnd gnd v tt v tt differential sstl class ii transmitter receiver v ccio v ccio gnd gnd differential sstl class i 100 ? 100 ? 100 ? 100 ? 100 ? parallel oct z 0 = 50 ? z 0 = 50 ? 100 ? 100 ? 100 ? 100 ? 100 ? parallel oct t chapter 6: i/o features in arria ii devices 6?31 termination schemes for i/o standards december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 6?14 shows the details of differential hstl i/o standard termination on arria ii devices. figure 6?14. differential hstl i/o standard termination for arria ii devices hstl class i hstl class ii external on-board termination transmitter receiver 50 50 50 50 v tt v tt transmitter receiver 50 50 50 50 v tt v tt 50 50 v tt v tt 50 50 termination transmitter receiver z 0 = 50 z 0 = 50 25 ? series oct v tt v tt hstl class ii transmitter receiver z 0 = 50 z 0 = 50 50 series oct hstl class i 50 v tt 50 v tt v tt v tt 50 50 25 series oct 50 series oct 50 ? 50 ? transmitter receiver z 0 = 50 ? z 0 = 50 ? v ccio v ccio gnd gnd v tt v tt differential hstl class ii transmitter receiver v ccio v ccio gnd gnd differential hstl class i 100 ? 100 ? 100 ? 100 ? 100 ? parallel oct z 0 = 50 ? z 0 = 50 ? 100 ? 100 ? 100 ? 100 ? 100 ? parallel oct r oct for arria ii gx devices s r oct and r oct for arria ii gz devices s t 6?32 chapter 6: i/o features in arria ii devices termination schemes for i/o standards arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation lvds the lvds i/o standard is a differential high-speed, low-voltage swing, low-power, general-purpose i/o (gpio) interface standard. arria ii lvds i/o standard requires a 2.5-v v ccio level. the lvds input buffer requires 2.5-v v ccpd . lvds requires a 100- ? ? differential termination resistor in the device with r d oct. figure 6?15 shows the details of lvds termination in arria ii devices. the arria ii gz r d oct is only available in the row i/o banks. figure 6?15. lvds i/o standard termination for arria ii devices (note 1) notes to figure 6?15 : (1) for lvds output with a three-resistor network, the r s and r p values are 120 and 170 ? ?? 100 100 100 rp external resistor rs rs arria ii oct arria ii oct differential outputs differential inputs 100 external on-board termination oct receive (true lvds output) termination lvds 50 50 50 50 50 50 oct receive (single-ended lvds output with three resistor network, lvds_e_3r) (1) single-ended outputs differential inputs rp external resistor oct receive (single-ended lvds output with one-resistor network, lvds_e_1r) (1), (2) 1inch arria ii oct 50 50 chapter 6: i/o features in arria ii devices 6?33 termination schemes for i/o standards december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration differential lvpecl arria ii devices support the lvpecl i/o standard on input clock pins only. lvpecl output operation is not supported. lvds input buffers are used to support lvpecl input operation. ac-coupling is required when the lvpecl common mode voltage of the output buffer is higher than arria ii lvpecl input common mode voltage. figure 6?16 shows the ac-coupled termination scheme. the 50- ? l v pecl o u tp u t b u ffer arria ii l v pecl inp u t b u ffer v icm z o z o 0.1 f 0.1 f l v pecl o u tp u t b u ffer arria ii l v pecl inp u t b u ffer 100 = 50 z o = 50 z o 6?34 chapter 6: i/o features in arria ii devices termination schemes for i/o standards arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation mini-lvds arria ii gx devices support true mini-lvds with a three-resistor network using two single-ended output buffers for external three-resistor networks. for arria ii gz devices, use two single-ended output buffers with external one- or three-resistor networks (mini-lvds_e_1r or mini-lvds_e_3r). arria ii gz row i/o banks support mini-lvds output using true lvds output buffers without an external resistor network. figure 6?18 shows the one-resistor and three-resistor topology for rsds and mini-lvds i/o standard termination. a resistor network is required to attenuate the lvds output-voltage swing to meet rsds and mini-lvds specifications. you can modify the three-resistor network values to reduce power or improve the noise margin. the resistor values chosen should satisfy the equation shown in equation 6?1 . 1 to validate that custom resistor values meet the rsds requirements, altera recommends performing additional simulations with ibis models. f for more information about the rsds i/o standard, refer to the rsds specification from the national semiconductor website at www.national.com . f for more information about the mini-lvds i/o standard, see the mini-lvds specification from the texas instruments website at www.ti.com . figure 6?18. rsds and mini-lvds i/o standard termination for arria ii devices (note 1) notes to figure 6?18 : (1) r p = 170 ? ? 50 50 r s r s r p transmitter receiver 1inch 50 50 r p transmitter 1inch 50 50 100 r p transmitter receiver 1inch 50 50 r s r s r p transmitter receiver 1inch termination external on-board termination oct one-resistor network (rsds_e_1r and mini-lvds_e_1r) (2) three-resistor network (rsds_e_3r and mini-lvds_e_3r) arria ii oct 100 receiver arria ii oct 100 100 equation 6?1. resistor network r 2 r p s x = 50 r 2 r p s + chapter 6: i/o features in arria ii devices 6?35 design considerations december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration design considerations although arria ii devices feature various i/o capabilities for high-performance and high-speed system designs, there are several other design considerations that require your attention to ensure the success of your designs. i/o termination this section describes i/o termination requirements for single-ended and differential i/o standards. single-ended i/o standards although single-ended, non-voltage-referenced i/o standards do not require termination, impedance matching is necessary to reduce reflections and improve signal integrity. voltage-referenced i/o standards require both an input reference voltage (v ref ) and a termination voltage (v tt ). the reference voltage of the receiving device tracks the termination voltage of the transmitting device. each voltage-referenced i/o standard requires a specific termination setup. for example, a proper resistive signal termination scheme is critical in sstl2 standards to produce a reliable ddr memory system with a superior noise margin. arria ii r s oct provides the convenience of not using external components. when optimizing oct for use in typical transmission line environments, the r s oct impedance must be equal to or less than the transmission line impedance for optimal performance. in ideal applications, setting the r s oct impedance to match the transmission line impedance avoids reflections. you can also use external pull-up resistors to terminate the voltage-referenced i/o standards such as sstl and hstl i/o standards. differential i/o standards differential i/o standards typically require a termination resistor between the two signals at the receiver. the termination resistor must match the differential load impedance of the signal line. arria ii devices provide an optional differential on-chip resistor when you use lvds. 6?36 chapter 6: i/o features in arria ii devices design considerations arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation i/o bank restrictions each i/o bank can simultaneously support multiple i/o standards. the following sections provide guidelines for mixing non-voltage-referenced and voltage-referenced i/o standards in arria ii devices. non-voltage-referenced standards each arria ii device i/o bank has its own vccio pins and supports only one v ccio . an i/o bank can simultaneously support any number of input signals with different i/o standard assignments, as shown in table 6?1 on page 6?2 . for output signals, a single i/o bank supports non-voltage-referenced output signals that drive at the same voltage as v ccio . because an i/o bank can only have one v ccio value, it can only drive out the value for non-voltage-referenced signals. for example, an i/o bank with a 2.5-v v ccio setting can support 2.5-v standard inputs and outputs and 3.0-v lvcmos inputs (but not output or bidirectional pins). voltage-referenced standards to accommodate voltage-referenced i/o standards, each arria ii gx i/o bank has a dedicated vref pin while arria ii gz i/o banks supports multiple vref pins feeding a common vref bus. the number of available vref pins increases as device density increases. for arria ii gz devices, if these pins are not used as vref pins, they cannot be used as generic i/o pins and must be tied to v ccio or gnd. each bank can only have a single v ccio voltage level and a single v ref voltage level at a given time. arria ii gx i/o banks featuring single-ended or differential standards can support voltage-referenced standards as long as all voltage-referenced standards use the same v ref setting. for arria ii gz devices, voltage-referenced input standards use their own v ccpd level as the power source. this feature allows you to place voltage-referenced input signals in an i/o bank with a v ccio of 2.5 v or below. for example, you can place hstl-15 input pins in an i/o bank with 2.5-v v ccio . however, the voltage-referenced input with r t oct enabled requires the v ccio of the i/o bank to match the voltage of the input standard. voltage-referenced bidirectional and output signals must be the same as the v ccio voltage of the i/o bank. for example, you can only place sstl-2 output pins in an i/o bank with a 2.5-v v ccio . mixing voltage-referenced and non-voltage-referenced standards an i/o bank can support both non-voltage-referenced and voltage-referenced pins by applying each of the rule sets individually. for example, an i/o bank can support sstl-18 inputs and 1.8-v inputs and outputs with a 1.8-v v ccio and a 0.9-v v ref . similarly, an i/o bank can support 1.5-v standards, 1.8-v inputs (but not outputs), and hstl and hstl-15 i/o standards with a 1.5-v v ccio and 0.75-v v ref . chapter 6: i/o features in arria ii devices 6?37 document revision history december 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration i/o placement guidelines this section provides i/o placement guidelines for the programmable i/o standards supported by arria ii devices and includes essential information for designing systems with an arria ii device?s selectable i/o capabilities. 3.3-v, 3.0-v, and 2.5-v lvttl/lvcmos tolerance guidelines altera recommends the following techniques when you use 3.3-, 3.0-, and 2.5-v i/o standards to limit overshoot and undershoot at i/o pins: 6?38 chapter 6: i/o features in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration december 2011 altera corporation december 2010 4.0 updated for the quartus ii software version 10.1 release: arria ii device handbook volume 1: device interfaces and integration june 2011 aiigx51007-4.1 subscribe ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 7. external memory interfaces in arria ii devices this chapter describes the hardware features in arria ? ii devices that facilitate high-speed memory interfacing for the double data rate (ddr) memory standard including delay-locked loops (dlls). memory interfaces also use i/o features such as on-chip termination (oct), programmable input delay chains, programmable output delay, slew rate adjustment, and programmable drive strength. arria ii devices provide an efficient architecture to quickly and easily fit wide external memory interfaces with their small modular i/o bank structure. the i/os are designed to provide flexible and high-performance support for existing and emerging external ddr memory standards, such as ddr3, ddr2, ddr sdram, qdr ii, qdr ii+ sram, and rldram ii. the arria ii fpga supports ddr external memory on the top, bottom, left, and right i/o banks. the high-performance memory interface solution includes the self-calibrating altmemphy megafunction and uniphy intellectual property (ip) core, optimized to take advantage of the arria ii i/o structure and the quartus ? ii timequest timing analyzer. the altmemphy megafunction and uniphy ip core provide the total solution for the highest reliable frequency of operation across process, voltage, and temperature (pvt) variations. the altmemphy megafunction and uniphy ip core instantiate a phase-locked loop (pll) and pll reconfiguration logic to adjust the resynchronization phase shift based on pvt variation. this chapter includes the following sections: 1 arria ii gz devices only support the uniphy ip core. arria ii gx devices support the qdr ii and qdr ii + sram controller with the uniphy ip core, and ddr3, ddr2, and the ddr sdram controller with the altmemphy megafunction. 1 rldram ii is only available in arria ii gz devices. f for more information about any of the above-mentioned features, refer to the i/o features in arria ii devices or the clock networks and plls in arria ii devices chapter. f for more information about external memory system specifications, implementation, board guidelines, timing analysis, simulation, debug information, altmemphy megafunction and uniphy ip core support for arria ii devices, refer to the external memory interface handbook . june 2011 aiigx51007-4.1 7?2 chapter 7: external memory interfaces in arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation figure 7?1 and figure 7?2 show the memory interface datapath overview for arria ii gx and arria ii gz devices, respectively. figure 7?1. external memory interface datapath overview for arria ii gx devices (note 1) , (2) notes to figure 7?1 : (1) you can bypass each register block. (2) shaded blocks are implemented in the i/o element (ioe). (3) the memory blocks used for each memory interface may differ slightly. (4) these signals may be bidirectional or unidirectional, depending on the memory standard. when bidirectional, the signal is ac tive during both read and write operations. ddr o u tp u t and o u tp u t ena b le registers me m o r y a rr ia ii gx fpga dll ddr inp u t registers synchronization registers clock management and reset 2n n n 2n internal memory (3) dq (read) (4) dq ( w rite) (4) dqs logic block dqs (read) (4) 2n 2 dqs ( w rite) (4) dqs w rite clock resynchronization clock dq w rite clock dqs ena b le circ u it postam b le control circ u it postam b le ena b le postam b le clock ddr o u tp u t and o u tp u t ena b le registers chapter 7: external memory interfaces in arria ii devices 7?3 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration memory interfaces pin support for arria ii devices a typical memory interface requires data (d, q, or dq), data strobe (dqs/cq and dqsn/cqn), address, command, and clock pins. some memory interfaces use data mask (dm or bwsn) pins to enable write masking. this section describes how arria ii devices support all these pins. 1 if you have more than one clock pair, you must place them in the same dq group. for example, if you have two clock pairs, you must place both of them in the same 4 dqs group. f for more information about pin connections, refer to the arria ii device family pin connection guidelines . the ddr3, ddr2, ddr sdram, and rldram ii devices use ck and ck# signals to capture the address and command signals. you can generate these signals to mimic the write-data strobe with arria ii ddr i/o registers (ddios) to ensure that timing relationships between the ck/ck# and dqs signals ( t dqss , t dss , and t dsh in ddr3, ddr2, and ddr sdram devices) are met. the qdr ii+/qdr ii sram devices use the same clock (k/k#) to capture the write data, address, and command signals. figure 7?2. external memory interface datapath overview for arria ii gz devices (note 1) , (2) notes to figure 7?2 : (1) you can bypass each register block. (2) the blocks used for each memory interface may differ slightly. the shaded blocks are part of the arria ii gz ioe. (3) these signals may be bidirectional or unidirectional, depending on the memory standard. when bidirectional, the signal is ac tive during both read and write operations. ddr o u tp u t and o u tp u t ena b le registers me m o r y a rr ia ii g z fpga dll ddr inp u t registers synchronization registers half data rate o u tp u t registers clock management and reset 4n 2n n n 2n 4n dpram dq (read) (3) dq ( w rite) (3) dqs logic block dqs (read) (3) half data rate inp u t registers 2n half data rate o u tp u t registers 4 2 dqs ( w rite) (3) resynchronization clock dqs w rite clock half-rate resynchronization clock half-rate clock dq w rite clock dqs ena b le circ u it postam b le control circ u it postam b le ena b le postam b le clock ddr o u tp u t and o u tp u t ena b le registers 7?4 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation f for more information about pin location requirements, which pins to use as memory clock pins, and pin connections between an arria ii device and an external memory device, refer to section i. device and pin planning in volume 2 of the external memory interface handbook . memory clock pins in arria ii devices are generated with a ddio register going to differential output pins (refer to figure 7?3 ), marked in the pin table with diffin or diffio_rx prefixes (arria ii gx devices) and diffout , diffio_tx , or diffio_rx prefixes (arria ii gz devices). these pins support the differential output function and you can use them as memory clock pins. arria ii devices offer differential input buffers for differential read-data strobe and clock operations. in addition, arria ii devices also provide an independent dqs logic block for each cqn pin for complementary read-data strobe and clock operations. in the arria ii pin tables, the differential dqs pin pairs are denoted as dqs and dqsn pins, and the complementary cq signals are denoted as cq and cqn pins. dqsn and cqn pins are marked separately in the pin table. each cqn pin connects to a dqs logic block and the shifted cqn signals go to the negative-edge input registers in the dq ioe registers. 1 use differential dqs signaling for ddr2 sdram interfaces running at 333 mhz. dq pins can be bidirectional signals, as in ddr3, ddr2, and ddr sdram, and rldram ii common i/o (cio) interfaces or unidirectional signals, as in qdr ii+, qdr ii sram, and rldram ii separate i/o (sio) devices. connect the unidirectional read-data signals to arria ii dq pins and the unidirectional write-data signals to a different dq/dqs group than the read dq/dqs group. the write clocks must be assigned to the dqs/dqsn pins associated to this write dq/dqs group. do not use the cq/cqn pin-pair for write clocks. 1 using a dq/dqs group for the write-data signals minimizes output skew and allows vertical migration. arria ii gx devices do not support vertical migration with arria ii gz devices. figure 7?3. memory clock generation for arria ii devices (note 1) notes to figure 7?3 : (1) global or regional clock networks are required for memory output clock generation to minimize jitter. (2) the mem_clk[0] and mem_clk_n[0] pins for ddr3, ddr2, and ddr sdram interfaces use the i/o input buffer for feedback; therefore, bidirectional i/o buffers are used for these pins. for memory interfaces with a differential dqs input, the input feedback buff er is configured as differential input; for memory interfaces using a single-ended dqs input, the input buffer is configured as a single-ended inpu t. using a single-ended input feedback buffer requires that the i/o standard?s v ref voltage is provided to that i/o bank?s vref pins. mem_clk (2) q d q d system clock fpga les i/o elements v cc mem_clk_n (2 ) 1 0 chapter 7: external memory interfaces in arria ii devices 7?5 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration the dq and dqs pin locations are fixed in the pin table. memory interface circuitry is available in every arria ii i/o bank that does not support transceivers. all memory interface pins support the i/o standards required to support ddr3, ddr2, ddr sdram, qdr ii+ and qdr ii sram, and rldram ii devices. arria ii devices support dq and dqs signals with dq bus modes of 4, 8/9, 16/18, or 32/36, although not all devices support dqs bus mode in 32/36. the ddr, ddr2, and ddr3 sdram interfaces use one dqs pin for each 8 group; for example, an interface with a 72 wide interface requires nine dqs pins. when any of these pins are not used for memory interfacing, you can use these pins as user i/os. additionally, you can use any dqsn or cqn pins not used for clocking as dq (data) pins. ta b l e 7 ?1 lists pin support per dq/dqs bus mode, including the dqs/cq and dqsn/cqn pin pair, for arria ii devices. table 7?1. dq/dqs bus mode pins for arria ii devices mode dqsn support cqn support parity or dm (optional) qvld (optional) (1) typical number of data pins per group maximum number of data pins per group (2) 4 yes no no (6) no 4 5 8/9 (3) yes yes yes yes 8 or 9 11 16/18 (4) yes yes yes yes 16 or 18 23 32/36 (5) yes yes yes yes 32 or 36 47 32/36 (7) yes yes no (8) yes 32 or 36 39 notes to table 7?1 : (1) the qvld pin is not used in the altmemphy megafunction and it is only applicable for arria ii gz devices. (2) this represents the maximum number of dq pins (including parity, data mask, and qvld pins) connected to the dqs bus network with single-ended dqs signaling. when you use differential or complementary dqs signaling, the maximum number of data per group decr eases by one. this number may vary per dq/dqs group in a particular device. check the pin table for the exact number per group. for d dr3, ddr2, and ddr interfaces, the number of pins is further reduced for an interface larger than 8 due to the need of one dqs pin for ea ch 8/9 group that is used to form the x16/18 and 32/36 groups. (3) two 4 dq/dqs groups are stitched to make a 8/9 group so there are a total of 12 pins in this group. (4) four 4 dq/dqs groups are stitched to make a 16/18 group. (5) eight 4 dq/dqs groups are stitched to make a 32/36 group. (6) the dm pin can be supported if differential dqs is not used and the group does not have additional signals. (7) these 32/36 dq/dqs groups are available in ep2agz300 and ep2agz350 devices in 1152- and 1517-pin fineline bga packages. th ere are 40 pins in each of these dq/dqs groups. (8) there are 40 pins in each of these dq/dqs groups. you cannot place the bwsn pins within the same dq/dqs group as the write d ata pins because of insufficient pins availability. 7?6 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation ta b l e 7 ?2 lists the number of i/o modules and dq/dqs groups per side of the arria ii gx device. for a more detailed listing of the number of dq/dqs groups available per bank in each arria ii gx device, refer to figure 7?4 on page 7?7 through figure 7?10 on page 7?13 . these figures represent the die top view of the arria ii gx device. f for more information about dq/dqs groups pin-out restriction format, refer to the arria ii device family pin connection guidelines . ta b l e 7 ?3 lists the number of dq/dqs groups available per side in each arria ii gz device. for a more detailed listing of the number of dq/dqs groups available per bank in each arria ii gz device, refer to figure 7?11 through figure 7?15 . these figures represent the die top view of the arria ii gz device. table 7?2. number of dq/dqs groups and i/o modules per side in arria ii gx devices device package side number of i/o module (1) number of dq/dqs groups refer to 4 8/9 16/18 32/36 ep2agx45 ep2agx65 358-pin ultra fineline bga top/bottom 3 6310 figure 7?4 on page 7?7 right 2 4200 ep2agx45 ep2agx65 572-pin fineline bga top/bottom 4 8420 figure 7?5 on page 7?8 ep2agx95 EP2AGX125 right 6 12620 figure 7?6 on page 7?9 ep2agx45 ep2agx65 780-pin fineline bga top/bottom/ right 7 14731 figure 7?7 on page 7?10 ep2agx95 EP2AGX125 ep2agx190 ep2agx260 figure 7?8 on page 7?11 ep2agx95 EP2AGX125 1152-pin fineline bga top/bottom 9 18 9 4 2 figure 7?9 on page 7?12 right 8 16842 ep2agx190 ep2agx260 1152-pin fineline bga top/bottom/ right 12 24 12 6 2 figure 7?10 on page 7?13 note to table 7?2 : (1) each i/o module consists of 16 i/o pins. 12 of the 16 pins are dq/dqs pins. table 7?3. number of dq/dqs groups per side in arria ii gz devices (part 1 of 2) device package side number of dq/dqs groups refer to 4 (1) 8/9 16/18 32/36 (2) ep2agz300 ep2agz350 780-pin fineline bga left/right 0 0 0 0 figure 7?11 on page 7?14 top/bottom 18 8 2 0 epagz225 1152-pin fineline bga left/right 13 6 2 0 figure 7?12 on page 7?15 top/bottom 26 12 4 0 ep2agz300 ep2agz350 1152-pin fineline bga left/right 13 6 2 0 figure 7?13 on page 7?16 top/bottom 26 12 4 2 (3) chapter 7: external memory interfaces in arria ii devices 7?7 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?4 through figure 7?10 show the maximum number of dq/dqs groups per side of the arria ii gx device. these figures represent the die-top view of the arria ii gx device. figure 7?4 shows the number of dq/dqs groups per bank in ep2agx45 and ep2agx65 devices in the 358-pin ultra fineline bga (ubga) package. ep2agz225 1517-pin fineline bga all sides 26 12 4 0 figure 7?14 on page 7?17 ep2agz300 ep2agz350 1517-pin fineline bga left/right 26 12 4 0 figure 7?15 on page 7?18 top/bottom 26 12 4 2 (3) notes to table 7?3 : (1) some of the 4 groups may use r up and r dn pins. you cannot use these groups if you use the arria ii gz calibrated oct feature. (2) to interface with a 36 qdr ii+/qdr ii sram device in a arria ii gz fpga that does not support the 32/36 dq/dqs group, refer t o ?combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface? on page 7?21 . (3) these 32/36 dq/dqs groups have 40 pins instead of 48 pins per group. you cannot place bwsn pins within the same dq/dqs gro up as the write data pins because of insufficient pins available. table 7?3. number of dq/dqs groups per side in arria ii gz devices (part 2 of 2) device package side number of dq/dqs groups refer to 4 (1) 8/9 16/18 32/36 (2) figure 7?4. number of dq/dqs groups per bank in ep2agx 45 and ep2agx65 devices in t he 358-pin ultra fineline bga package (note 1) , (2) notes to figure 7?4 : (1) all i/o pin counts include 12 dedicated clock inputs ( clk4 to clk15 ) that you can use for data inputs. (2) arria ii gx devices in the 358-pin ubga package do not support the ? ? i/o bank 8a 22 user i/os i/o bank 7a 38 user i/os i/o bank 6a (3) 18 user i/os i/o bank 5a 18 user i/os i/o bank 4a 38 user i/os i/o bank 3a 22 user i/os ep2agx45 and ep2agx65 devices in the 358-pin ultra fineline bga 4=2 8/9=1 16/18=0 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=2 8/9=1 16/18=0 32/36=0 4=2 8/9=1 16/18=0 32/36=0 4=2 8/9=1 16/18=0 32/36=0 4=4 8/9=2 16/18=1 32/36=0 7?8 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation figure 7?5 shows the number of dq/dqs groups per bank in arria ii gx ep2agx45 and ep2agx65 devices in the 572-pin fineline bga package. figure 7?5. number of dq/dqs groups per bank in ep 2agx45 and ep2agx65 devices in the 572-pin fineline bga package (note 1) , (2) notes to figure 7?5 : (1) all i/o pin counts include 12 dedicated clock inputs ( clk4 to clk15 ) that you can use for data inputs. (2) arria ii gx devices in the 572-pin fineline bga package do not support the ? ? i/o bank 8a 38 user i/os i/o bank 7a 38 user i/os i/o bank 6a (3) 50 user i/os i/o bank 5a 50 user i/os i/o bank 4a 38 user i/os i/o bank 3a 38 user i/os ep2agx45 and ep2agx65 devices in the 572-pin fineline bga 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 chapter 7: external memory interfaces in arria ii devices 7?9 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?6 shows the number of dq/dqs groups per bank in arria ii gx ep2agx95 and EP2AGX125 devices in the 572-pin fineline bga package. figure 7?6. number of dq/dqs groups per bank in ep2agx95 and EP2AGX125 devices in the 572-pin fineline bga package (note 1) , (2) notes to figure 7?6 : (1) all i/o pin counts include 12 dedicated clock inputs ( clk4 to clk15 ) that you can use for data inputs. (2) arria ii gx devices in the 572-pin fineline bga package do not support the ? ? i/o bank 8a 42 user i/os i/o bank 7a 38 user i/os i/o bank 6a (3) 50 user i/os i/o bank 5a 50 user i/os i/o bank 4a 42 user i/os i/o bank 3a 38 user i/os ep2agx95 and EP2AGX125 devices in the 572-pin fineline bga 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 7?10 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation figure 7?7 shows the number of dq/dqs groups per bank in arria ii gx ep2agx45 and ep2agx65 devices in the 780-pin fineline bga package. figure 7?7. number of dq/dqs groups per bank in ep 2agx45 and ep2agx65 devices in the 780-pin fineline bga package (note 1) notes to figure 7?7 : (1) all i/o pin counts include 12 dedicated clock inputs ( clk4 to clk15 ) that you can use for data inputs. (2) several configuration pins in bank 6a are shared with dq/dqs pins. you cannot use a ? i/o bank 8a 54 user i/os i/o bank 7a 70 user i/os i/o bank 6a (2) 50 user i/os i/o bank 5a 66 user i/os i/o bank 4a 70 user i/os i/o bank 3a 54 user i/os ep2agx45 and ep2agx65 devices in the 780-pin fineline bga 4=6 8/9=3 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 chapter 7: external memory interfaces in arria ii devices 7?11 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?8 shows the number of dq/dqs groups per bank in arria ii gx ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices in the 780-pin fineline bga package. figure 7?8. number of dq/dqs groups per bank in ep2 agx95, EP2AGX125, ep2agx190 and ep2agx260 devices in the 780-pin fineline bga package (note 1) notes to figure 7?8 : (1) all i/o pin counts include 12 dedicated clock inputs ( clk4 to clk15 ) that you can use for data inputs. (2) several configuration pins in bank 6a are shared with dq/dqs pins. you cannot use a ? i/o bank 8a 58 user i/os i/o bank 7a 70 user i/os i/o bank 6a (2) 50 user i/os i/o bank 5a 66 user i/os i/o bank 4a 74 user i/os i/o bank 3a 54 user i/os ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices in the 780-pin fineline bga 4=6 8/9=3 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=6 8/9=3 16/18=1 32/36=0 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 7?12 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation figure 7?9 shows the number of dq/dqs groups per bank in arria ii gx ep2agx95 and EP2AGX125 devices in the 1152-pin fineline bga package. figure 7?9. number of dq/dqs groups per bank in ep2agx95 and EP2AGX125 devices in the 1152-pin fineline bga package (note 1) notes to figure 7?9 : (1) all i/o pin counts include 12 dedicated clock inputs ( clk4 to clk15 ) that you can use for data inputs. (2) several configuration pins in bank 6a are shared with dq/dqs pins. you cannot use a ? i/o bank 7a 70 user i/os i/o bank 7b 16 user i/os i/o bank 6a (2) 66 user i/os i/o bank 5a 66 user i/os i/o bank 4b 16 user i/os i/o bank 4a 74 user i/os ep2agx95 and EP2AGX125 devices in the 1152-pin fineline bga i/o bank 3a 70 user i/os i/o bank 8a 74 user i/os 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=2 8/9=1 16/18=0 32/36=0 4=2 8/9=1 16/18=0 32/36=0 chapter 7: external memory interfaces in arria ii devices 7?13 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?10 shows the number of dq/dqs groups per bank in arria ii gx ep2agx190 and ep2agx260 devices in the 1152-pin fineline bga package. figure 7?10. number of dq/dqs groups per bank in ep2agx190 and ep2agx260 devices in the 1152-pin fineline bga package (note 1) notes to figure 7?10 : (1) all i/o pin counts include 12 dedicated clock inputs ( clk4 to clk15 ) that you can use for data inputs. (2) several configuration pins in bank 6a are shared with dq/dqs pins. you cannot use a ? i/o bank 7a 70 user i/os i/o bank 7b 32 user i/os i/o bank 6b 32 user i/os i/o bank 6a (2) 66 user i/os i/o bank 4b 32 user i/os i/o bank 4a 74 user i/os ep2agx190 and ep2agx260 devices in the 1152-pin fineline bga i/o bank 3a 70 user i/os i/o bank 8a 74 user i/os i/o bank 8b 32 user i/os i/o bank 3b 32 user i/os i/o bank 5a 66 user i/os i/o bank 5b 32 user i/os 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=4 8/9=2 16/18=1 32/36=0 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 4=8 8/9=4 16/18=2 32/36=1 7?14 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation figure 7?11 shows the number of dq/dqs groups per bank in arria ii gz ep2agz300 and ep2agz350 devices in the 780-pin fineline bga package. figure 7?11. number of dq/dqs groups per bank in ep2agz300 and ep2agz350 devices in the 780-pin fineline bga package, (note 1) note to figure 7?11 : (1) ep2agz300 and ep2agz350 devices do not support 32/36 mode. to interface with a 36 qdr ii+/qdr ii sram device, refer to ?combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface? on page 7?21 . dll0 dll3 dll1 dll2 i/o bank 8a 40 user i/os 4=6 8/ 9=3 16/18=1 i/o bank 8c i/o bank 7c i/o bank 7a i/o bank 4a i/o bank 4c i/o bank 3c i/o bank 3a 32 user i/os 32 user i/os 40 user i/os 40 user i/os 32 user i/os 32 user i/os 40 user i/os ep2agz300 and ep2agz350 devices in the 780-pin fineline bga 4=3 8/9=1 16/18=0 4=3 8/ 9=1 16/18=0 4=3 8/ 9=1 16/18=0 4=3 8/ 9=1 16/18=0 4=6 8/ 9=3 16/18=1 4=6 8/ 9=3 16/18=1 4=6 8/ 9=3 16/18=1 chapter 7: external memory interfaces in arria ii devices 7?15 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?12 shows the number of dq/dqs groups per bank in arria ii gz ep2agz225 devices in the 1152-pin fineline bga package. figure 7?12. number of dq/dqs groups per bank in ep2agz225 devices in the 1152-pin fineline bga package (note 1) , (2) , (3) , (4) notes to figure 7?12 : (1) ep2agz225 devices do not support the 32/36 mode. to interface with a 36 qdr ii+/qdr ii sram device, refer to ?combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface? on page 7?21 . (2) you can also use dqs/dqsn pins in some of the 4 groups as r up and r dn pins, but you cannot use a 4 group for memory interfaces if two pins of the 4 group are used as r up and r dn pins for oct calibration. if two pins of a 4 group are used as r up and r dn pins for oct calibration, you can use the 16/18 or 32/36 groups that include that 4 group; however, there are restrictions on using 8/9 groups that in clude that 4 group. (3) all i/o pin counts include dedicated clock inputs that you can use for data inputs. (4) you can also use some of the dq/dqs pins in i/o bank 1c as configuration pins. you cannot use a 4 dq/dqs group with any of its pin members used for configuration purposes. ensure that the dq/dqs groups that you have chosen are not also used for configuration because you may lose up to four 4 dq/dqs groups, depending on your configuration scheme. dll0 dll3 dll1 dll2 i/o bank 8a 40 user i/os i/o bank 8b i/o bank 8c i/o bank 7c i/o bank 7b i/o bank 7a i/o bank 6a i/o bank 6c i/o bank 4a i/o bank 4b i/o bank 4c i/o bank 3c i/o bank 3b i/o bank 3a i/o bank 1c i/o bank 1a 24 user i/os 32 user i/os 32 user i/os 24 user i/os 40 user i/os 48 user i/os 42 user i/os 40 user i/os 24 user i/os 32 user i/os 32 user i/os 24 user i/os 40 user i/os 48 user i/os 42 user i/os ep2agz225 devices in the 1152-pin fineline bga 4=3 8/9=1 16/18=0 4=3 8/9=1 16/18=0 4=4 8/9=2 16/18=1 4=6 8/9=3 16/18=1 4=7 8/ 9=3 16/18=1 4=6 8/ 9=3 16/18=1 4=6 8/ 9=3 16/18=1 4=4 8/ 9=2 16/18=1 4=3 8/ 9=1 16/18=0 4=3 8/ 9=1 16/18=0 4=4 8/9=2 16/18=1 4=6 8/9=3 16/18=1 4=6 8/ 9=3 16/18=1 4=7 8/ 9=3 16/18=1 4=4 8/9=2 16/18=1 4=6 8/9=3 16/18=1 7?16 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation figure 7?13 shows the number of dq/dqs groups per bank in arria ii gz ep2agz300 and ep2agz350 devices in the 1152-pin fineline bga package. figure 7?13. number of dq/dqs groups per bank in ep2agz300 and ep2agz350 devices in the 1152-pin fineline bga package (note 1) , (2) , (3) notes to figure 7?13 : (1) you can also use dqs/dqsn pins in some of the 4 groups as r up and r dn pins, but you cannot use a 4 group for memory interfaces if two pins of the 4 group are used as r up and r dn pins for oct calibration. if two pins of a 4 group are used as r up and r dn pins for oct calibration, you can use the 16/18 or 32/36 groups that include that 4 group; however, there are restrictions on using 8/9 groups that in clude that 4 group. (2) all i/o pin counts include dedicated clock inputs that you can use for data inputs. (3) you can also use some of the dq/dqs pins in i/o bank 1c as configuration pins. you cannot use a 4 dq/dqs group with any of its pin members used for configuration purposes. ensure that the dq/dqs groups that you have chosen are not also used for configuration because you may lose up to four 4 dq/dqs groups, depending on your configuration scheme. (4) these 32/36 dq/dqs groups have 40 pins instead of 48 pins per group. dll0 dll3 dll1 dll2 i/o bank 8a 40 user i/os i/o bank 8b i/o bank 8c i/o bank 7c i/o bank 7b i/o bank 7a i/o bank 6a i/o bank 6c i/o bank 4a i/o bank 4b i/o bank 4c i/o bank 3c i/o bank 3b i/o bank 3a i/o bank 1c i/o bank 1a 24 user i/os 32 user i/os 32 user i/os 24 user i/os 40 user i/os 48 user i/os 42 user i/os 40 user i/os 24 user i/os 32 user i/os 32 user i/os 24 user i/os 40 user i/os 48 user i/os 42 user i/os ep2agz300 and ep2agz350 devices in the 1152-pin fineline bga 4=6 8/ 9=3 16/18=1 32/36=1 (5) 4=6 8/ 9=3 16/18=1 32/36=1 (5) 4=6 8/ 9=3 16/18=1 32/36=1 (5) 4=6 8/ 9=3 16/18=1 32/36=1 (5) 4=4 8/ 9=2 16/18=1 4=4 8/ 9=2 16/18=1 4=3 8/ 9=1 16/18=0 4=3 8/ 9=1 16/18=0 4=3 8/ 9=1 16/18=0 4=3 8/ 9=1 16/18=0 4=4 8/ 9=2 16/18=1 4=4 8/ 9=2 16/18=1 4=7 8/ 9=3 16/18=1 4=6 8/ 9=3 16/18=1 4=6 8/ 9=3 16/18=1 4=7 8/ 9=3 16/18=1 chapter 7: external memory interfaces in arria ii devices 7?17 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?14 shows the number of dq/dqs groups per bank in arria ii gz ep2agz225 devices in the 1517-pin fineline bga package. figure 7?14. number of dq/dqs groups per bank in ep2agz225 devices in the 1517-pin fineline bga package (note 1) , (2) , (3) , (4) notes to figure 7?14 : (1) ep2agz225 devices do not support 32/36 mode. to interface with a 36 qdr ii+/qdr ii sram device, refer to ?combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface? on page 7?21 . (2) you can also use dqs/dqsn pins in some of the 4 groups as r up and r dn pins, but you cannot use a 4 group for memory interfaces if two pins of the 4 group are used as r up and r dn pins for oct calibration. if two pins of a 4 group are used as r up and r dn pins for oct calibration, you can use the 16/18 or 32/36 groups that include that 4 group, however there are restrictions on using 8/9 groups that inc lude that 4 group. (3) all i/o pin counts include dedicated clock inputs that you can use for data inputs. (4) you can also use some of the dq/dqs pins in i/o bank 1c as configuration pins. you cannot use a 4 dq/dqs group with any of its pin members used for configuration purposes. ensure that the dq/dqs groups that you have chosen are not also used for configuration because you may lose up to four 4 dq/dqs groups, depending on your configuration scheme. dll0 dll3 dll1 dll2 i/o bank 8a 40 user i/os 4=6 8/ 9=3 16/ 18=1 i/o bank 8b i/o bank 8c i/o bank 7c i/o bank 7b i/o bank 7a i/o bank 6a i/o bank 6c i/o bank 5c i/o bank 5a i/o bank 4a i/o bank 4b i/o bank 4c i/o bank 3c i/o bank 3b i/o bank 3a i/o bank 2a i/o bank 2c i/o bank 1c i/o bank 1a 24 user i/os 4=4 8/ 9=2 16/ 18=1 32 user i/os 4=3 8/ 9=1 16/ 18=0 32 user i/os 4=3 8/ 9=1 16/ 18=0 24 user i/os 4=4 8/ 9=2 16/ 18=1 40 user i/os 4=6 8/ 9=3 16/ 18=1 48 user i/os 4=7 8/ 9=3 6/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 48 user i/os 4=7 8/ 9=3 6/ 18=1 40 user i/os 4=6 8/ 9=3 16/ 18=1 24 user i/os 4=4 8/ 9=2 16/ 18=1 32 user i/os 4=3 8/ 9=1 16/ 18=0 32 user i/os 4=3 8/ 9=1 16/ 18=0 24 user i/os 4=4 8/ 9=2 16/ 18=1 40 user i/os 4=6 8/ 9=3 16/ 18=1 48 user i/os 4=7 8/ 9=3 16/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 48 user i/os 4=7 8/ 9=3 16/ 18=1 ep2agz225 devices in the 1517-pin fineline bga 7?18 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation the dqs and dqsn pins are listed in the arria ii pin tables as dqsxy and dqsnxy , respectively, where x denotes the dq/dqs grouping number and y denotes whether the group is located on the top (t), bottom (b), left (l), or right (r) side of the device. the dq/dqs pin numbering is based on 4 mode. the corresponding dq pins are marked as dqxy , where x indicates which dqs group the pins belong to and y indicates whether the group is located on the top (t), bottom (b), left (l), or right (r) side of the device. for example, dqs3b indicates a dqs pin that is located on the bottom side of the device. the dq pins belonging to that group are shown as dq3b in the pin table. for dqs pins in arria ii gx i/o banks, refer to figure 7?16 . for dqs pins in arria ii gz i/o banks, refer to figure 7?17 . 1 the parity, dm, bwsn, nwsn, qvld, and ecc pins are shown as dq pins in the pin table. figure 7?15. number of dq/dqs groups per bank in ep2agz300 and ep2agz350 devices in the 1517-pin fineline bga package (note 1) , (2) , (3) notes to figure 7?15 : (1) you can also use dqs/dqsn pins in some of the 4 groups as r up and r dn pins, but you cannot use a 4 group for memory interfaces if two pins of the 4 group are used as r up and r dn pins for oct calibration. if two pins of a 4 group are used as r up and r dn pins for oct calibration, you can use the 16/18 or 32/36 groups that include that 4 group, however there are restrictions on using 8/9 groups that inc lude that 4 group. (2) all i/o pin counts include dedicated clock inputs that you can use for data inputs. (3) you can also use some of the dq/dqs pins in i/o bank 1c as configuration pins. you cannot use a 4 dq/dqs group with any of its pin members used for configuration purposes. ensure that the dq/dqs groups that you have chosen are not also used for configuration because you may lose up to four 4 dq/dqs groups, depending on your configuration scheme. (4) these 32/36 dq/dqs groups have 40 pins instead of 48 pins per group. dll0 dll3 dll1 dll2 i/o bank 8a 40 user i/os 4=6 8/ 9=3 16/ 18=1 32/ 36=1 (5) i/o bank 8b i/o bank 8c i/o bank 7c i/o bank 7b i/o bank 7a i/o bank 6a i/o bank 6c i/o bank 5c i/o bank 5a i/o bank 4a i/o bank 4b i/o bank 4c i/o bank 3c i/o bank 3b i/o bank 3a i/o bank 2a i/o bank 2c i/o bank 1c i/o bank 1a 24 user i/os 4=4 8/ 9=2 16/ 18=1 32 user i/os 4=3 8/ 9=1 16/ 18=0 32 user i/os 4=3 8/ 9=1 16// 18=0 24 user i/os 4=4 8/ 9=2 16/ 18=1 40 user i/os 4=6 8/ 9=3 16/ 18=1 32/ 36=1 (5) 48 user i/os 4=7 8/ 9=3 6/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 48 user i/os 4=7 8/ 9=3 6/ 18=1 40 user i/os 4=6 8/ 9=3 16/ 18=1 32/ 36=1 (5) 24 user i/os 4=4 8/ 9=2 16/ 18=1 32 user i/os 4=3 8/ 9=1 16/ 18=0 32 user i/os 4=3 8/ 9=1 16/ 18=0 24 user i/os 4=4 8/ 9=2 16/ 18=1 40 user i/os 4=6 8/ 9=3 16/ 18=1 32/ 36=1 (5) 48 user i/os 4=7 8/ 9=3 16/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 42 user i/os 4=6 8/ 9=3 16/ 18=1 48 user i/os 4=7 8/ 9=3 16/ 18=1 ep2agz300 and ep2agz350 devices in the 1517-pin fineline bga chapter 7: external memory interfaces in arria ii devices 7?19 memory interfaces pin support for arria ii devices june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration the numbering scheme starts from the top-left side of the device going clockwise in a die top view. figure 7?16 shows how the dq/dqs groups are numbered in a die top view of the largest arria ii gx device. figure 7?16. dqs pins in arria ii gx i/o banks dll0 8b 8a 7a 7b dqs1t 3b 3a 4a 4b 5b 5a 6a 6b pll5 pll6 pll1 dqs24t dqs1r dqs24r dqs24b dqs1b pll2 pll3 dll1 arria ii gx device pll4 7?20 chapter 7: external memory interfaces in arria ii devices memory interfaces pin support for arria ii devices arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation the numbering scheme starts from the top-left corner of the device going counter-clockwise in a die top view. figure 7?17 shows how the dq/dqs groups are numbered in a die top view of the device. figure 7?17. dqs pins in arria ii gz i/o banks 8a 8b 8c 7c 7b 7a dqs38t dqs1l 1a 1b 1c 2c 2b 2a dqs34l 3a 3b 3c 4c 4b 4a 5a 5b 5c 6c 6b 6a dqs17l dqs20t dqs19t dqs1t dqs34r dqs18r dqs1r dqs1b dqs19b dqs20b dqs38b dqs17r dqs18l arria ii gz device pll_l1 dll0 pll_l4 dll1 pll_r4 dll2 dll3 pll_r1 pll_l2 pll_l3 pll_r2 pll_r3 pll_t1 pll_t2 pll_b2 pll_b1 chapter 7: external memory interfaces in arria ii devices 7?21 combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration using the r up and r dn pins in a dq/dqs group used for memory interfaces in arria ii gz devices you can use the dqs/dqsn pins in some of the 4 groups as r up and r dn pins (listed in the pin table). you cannot use a 4 dq/dqs group for memory interfaces if any of its pin members are used as r up and r dn pins for oct calibration. you may be able to use the 8/9 group that includes this 4 dq/dqs group, if either of the following applies: 1 for 8, 16/18, or 32/36 dq/dqs groups whose members are used for r up and r dn , you must assign dqs and dq pins manually. the quartus ? ii software might not be able to place dqs and dq pins without manual pin assignments, resulting in a ?no-fit?. combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface this implementation combines 16/18 dq/dqs groups to interface with a 36 qdr ii+/qdr ii sram device. the 36 read data bus uses two 16/18 groups, and the 36 write data uses another two 16/18 or four 8/9 groups. the cq/cqn signal traces are split on the board trace to connect to two pairs of cq/cqn pins in the fpga. this is the only connection on the board that you must change for this implementation. other qdr ii+/qdr ii sram interface rules for arria ii devices also apply for this implementation. 1 the altmemphy megafunction and uniphy ip core do not use the qvld signal, so you can leave the qvld signal unconnected as in any qdr ii+/qdr ii sram interfaces in arria ii devices. 7?22 chapter 7: external memory interfaces in arria ii devices combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation f for more information about the altmemphy megafunction and uniphy ip core, refer to the external memory interface handbook . 1 use one side of the device with the 36 mode emulation interface whenever possible, even though the 36 group formed by a combination of dq/dqs groups from the top and bottom i/o banks, or top/bottom i/o bank and left/right i/o banks is supported. rules to combine groups in 572-, 780-, 1152-, and some 1517-pin package devices, there is at most one 16/18 group per i/o bank. you can combine two 16/18 groups from a single side of the device for a 36 interface. 358-pin package devices have only one 16/18 group in each bank 4a and 7a. you can only form a 36 interface with these two banks. for devices that do not have four 16/18 groups in a single side of the device to form two 36 groups for read and write data, you can form one 36 group on one side of the device and another 36 group on the other side of the device. altera recommends forming two 36 groups on column i/o banks (top and bottom) only, although forming a 36 group from column i/o banks and another 36 group from row i/o banks for the read and write data buses is supported. for vertical migration with the 36 emulation implementation, you must check if migration is possible by enabling device migration in the quartus ii project. the quartus ii software also supports the use of four 8/9 dq groups for write data pins and the migration of these groups across device density. 358-pin package devices can only form a 36 group for write data pin with four 8/9 groups. chapter 7: external memory interfaces in arria ii devices 7?23 combining 16/18 dq/dqs groups for 36 qdr ii+/qdr ii sram interface june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 7 ?4 lists the possible combinations to use two 16/18 dq/dqs groups to form a 32/36 group on arria ii devices lacking a native 32/36 dq/dqs group. table 7?4. possible group combinations in arria ii devices device package device density i/o bank combinations arria ii gx 358-pin ultra fineline bga (1) 572-pin fineline bga (2) (2) (3) 1152-pin fineline bga (4) (4) 1a and 1c, 6a and 6c (left and right i/o banks) 3a and 3b, 4a and 4b (bottom i/o banks) 7a and 7b, 8a and 8b (top i/o banks) 1517-pin fineline bga (4) (4) 1a and 1c, 2a and 2c (left i/o banks) 3a and 3b, 4a and 4b (bottom i/o banks) 5a and 5c, 6a and 6c (right i/o banks) 7a and 7b, 8a and 8b (top i/o banks) notes to table 7?4 : (1) only one 8/9 group left in each of the remaining i/o banks. you can form only ? ? ? ? memory interface data group default assignment from the default 18 to 9 . (4) this device supports 36 dq/dqs groups on the top and bottom i/o banks natively. 7?24 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation arria ii external memory interface features arria ii devices are rich with features that allow robust high-performance external memory interfacing. the altera ? memory ips allow you to use these external memory interface features and helps set up the physical interface (phy) best suited for your system. this section describes each arria ii devices feature that is used in external memory interfaces from the dqs phase-shift circuitry, dynamic oct control block, and dqs logic block. 1 if you use the altera memory controller megacore ? functions, the altmemphy megafunction and uniphy ip core are instantiated for you. f for more information about supported external memory ips, refer to section iii: external memory interface system specification in volume 1 of the external memory handbook . dqs phase-shift circuitry arria ii phase-shift circuitry provides phase shift to the dqs/cq and cqn pins on read transactions when the dqs/cq and cqn pins are acting as input clocks or strobes to the fpga. dqs phase-shift circuitry consists of dlls that are shared between the multiple dqs pins and the phase-offset control module to further fine-tune the dqs phase shift for different sides of the device. chapter 7: external memory interfaces in arria ii devices 7?25 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?18 and figure 7?19 show how the dqs phase-shift circuitry is connected to the dqs/cq and cqn pins in the device where memory interfaces are supported on the top, bottom, and right sides of the arria ii gx device and all sides of the arria ii gz device. figure 7?18. dqs/cq and cqn pins and dqs phase-shift circuitry for arria ii gx devices (note 1) notes to figure 7?18 : (1) for possible reference input clock pins for each dll, refer to ?dll? on page 7?27 . (2) you can configure each dqs/cq and cqn pin with a phase shift based on one of two possible dll output settings. dll reference clock (2) dqs phase-shift circ u itry dqs/cq pin cqn pin dqs/cq pin cqn pin to ioe to ioe to ioe t t t to ioe t dq s logic block s dqs/cq pin cqn pin cqn pin dqs/cq pin to ioe to ioe to ioe to ioe t t t t dqs/cq pin cqn pin dqs/cq pin cqn pin t t t t dq s logic block s dll reference clock (2) dqs phase-shift circ u itry to ioe to ioe to ioe to ioe 6 6 6 6 7?26 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation dqs phase-shift circuitry is connected to dqs logic blocks that control each dqs/cq or cqn pin. the dqs logic blocks allow the dqs delay settings to be updated concurrently at every dqs/cq or cqn pin. figure 7?19. dqs/cq and cqn pins and dqs p hase-shift circuitry for arria ii gz devices (note 1) notes to figure 7?19 : (1) for possible reference input clock pins for each dll, refer to ?dll? on page 7?27 . (2) you can configure each dqs/cq and cqn pin with a phase shift based on one of two possible dll output settings. dll reference clock (2) dqs phase-shift circ u itry dqs/cq pin cqn pin cqn pin dqs/cq pin to ioe t t t t dqs phase-shift circ u itry dll reference clock (2) dll reference clock (2) dqs/cq pin cqn pin dqs/cq pin cqn pin to ioe to ioe to ioe t t t to ioe t dq s logic block s dqs/cq pin cqn pin cqn pin dqs/cq pin to ioe to ioe to ioe to ioe t t t t dqs/cq pin cqn pin dqs/cq pin cqn pin t t t t dq s logic block s dll reference clock (2) dqs phase-shift circ u itry to ioe to ioe to ioe dqs phase-shift circ u itry to ioe to ioe to ioe to ioe chapter 7: external memory interfaces in arria ii devices 7?27 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration dll dqs phase-shift circuitry uses a dll to dynamically control the clock delay required by the dqs/cq and cqn pins. the dll, in turn, uses a frequency reference to dynamically generate control signals for the delay chains in each of the dqs/cq and cqn pins, allowing it to compensate for pvt variations. the dqs delay settings are gray-coded to reduce jitter when the dll updates the settings. phase-shift circuitry requires a maximum of 1,280 clock cycles to lock and calculate the correct input clock period when the dll is in low jitter mode. otherwise, only 256 clock cycles are required. do not send data during these clock cycles because there is no guarantee that the data is properly captured. as the settings from the dll may not be stable until this lock period has elapsed, be aware that anything with these settings may be unstable during this period. 1 you can still use the dqs phase-shift circuitry for any memory interfaces that are operating at less than 100 mhz. however, the dqs signal may not shift over 2.5 ns. at less than 100 mhz, while the dqs phase shift may not be exactly centered to the data valid window, sufficient margin must still exist for reliable operation. there are two dlls in an arria ii gx device and four dlls in arria ii gz device, located in the top-left and bottom-right corners of the arria ii gx device and each corner of the arria ii gz device. these dlls can support a maximum of two unique frequencies (arria ii gx devices) or four unique frequencies (arria ii gz devices), with each dll running at one frequency. each dll can have two outputs with different phase offsets, which allows one arria ii gx device to have four different dll phase shift settings and arria ii gz device to have eight different dll phase shift settings. for arria ii gx devices, each dll can access the top, bottom, and right side of the device. this means that each i/o bank is accessible by two dlls, giving more flexibility to create multiple frequencies and multiple-type interfaces. the dll outputs the same dqs delay settings for the different sides of the device. for arria ii gz devices, each dll can access the two adjacent sides from its location within the device. for example, dll0 on the top left of the device can access the top side (i/o banks 7a, 7b, 7c, 8a, 8b, and 8c) and the left side of the device (i/o banks 1a, 1b, 1c, 2a, 2b, and 2c). this means that each i/o bank is accessible by two dlls, giving more flexibility to create multiple frequencies and multiple-type interfaces. you can have two different interfaces with the same frequency on the two sides adjacent to a dll, where the dll controls the dqs delay settings for both interfaces. 1 interfaces that span across two sides of the device are not recommended for high-performance memory interface applications. however, arria ii gx devices support split interfaces (top and bottom i/o banks) and interfaces with multiple dq/dqs groups wrapping over column and row i/os from adjacent sides of the devices. interfaces spanning ?top and bottom i/o banks?, ?right and bottom i/o banks?, or ?top, bottom, and right i/o banks? are supported. for arria ii gx devices, each bank can use settings from either one or both dlls. for example, dqs1r can get its phase-shift settings from dll0, and dqs2r can get its phase-shift settings from dll1. 7?28 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation for arria ii gz devices, each bank can use settings from either or both adjacent dlls the bank. for example, dqs1l can get its phase-shift settings from dll0, while dqs2l can get its phase-shift settings from dll1. 1 if you have a dedicated pll that only generates the dll input reference clock, set the pll mode to no compensation or the quartus ii software automatically changes it. because the pll does not use any other outputs, it does not have to compensate for any clock paths. 1 arria ii devices support pll cascading. if you cascade plls, you must use plls adjacent to each other (for example, pll5 and pll6 for arria ii gx devices) so that the dedicated path between the two plls is used instead of using a global clock (gclk) or regional clock (rclk) network that might be subjected to core noise. the timequest timing analyzer takes pll cascading into consideration for timing analysis. ta b l e 7 ?5 lists the dll location and supported i/o banks for arria ii gz devices. ta b l e 7 ?6 lists the reference clock for each dll might come from pll output clocks or dedicated clock input pins for arria ii gx devices. table 7?5. dll location and supported i/o banks for arria ii gz devices dll location accessible i/o banks (1) dll0 top-left corner 1a, 1b, 1c, 2a , 2b, 2c, 7a, 7b, 7c, 8a, 8b, 8c dll1 bottom-left corner 1a, 1b, 1c, 2a, 2b, 2c, 3a, 3b, 3c, 4a, 4b, 4c dll2 bottom-right corner 3a, 3b, 3c, 4a, 4b, 4c, 5a, 5b, 5c, 6a, 6b, 6c dll3 top-right corner 5a, 5b, 5c, 6a , 6b, 6c, 7a, 7b, 7c, 8a, 8b, 8c note to table 7?5 : (1) the dll can access these i/o banks if they are available for memory interfacing. table 7?6. dll reference clock input for arria ii gx devices (note 1) dll clkin (top/bottom) clkin (right) pll dll0 clk12 clk13 clk14 clk15 ? pll1 dll1 clk4 clk5 clk6 clk7 clk8 clk9 clk10 clk11 pll3 note to table 7?6 : (1) clk4 to clk7 are located on the bottom side, clk8 to clk11 are located on the right side, and clk12 to clk15 are located on the top side of the device. chapter 7: external memory interfaces in arria ii devices 7?29 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration for arria ii gz devices, the reference clock for each dll may come from pll output clocks or any of the two dedicated clock input pins located in either side of the dll. ta b l e 7 ?7 through table 7?9 show the available dll reference clock input resources for the arria ii gz devices. table 7?7. dll reference clock input for ep2agz300 and ep2agz350 devices in the 780-pin fineline bga package dll clkin (top/bottom) clkin (left/right) pll (top/bottom) pll (left/right) pll (corner) dll0 clk12p clk13p clk14p clk15p ? pll_t1 ? ? dll1 clk4p clk5p clk6p clk7p ? pll_b1 ? ? dll2 clk4p clk5p clk6p clk7p ? pll_b2 ? ? dll3 clk12p clk13p clk14p clk15p ? pll_t2 ? ? table 7?8. dll reference clock input for ep2agz225, ep2agz300, and ep2agz350 devices in the 1152-pin fineline bga package (part 1 of 2) dll clkin (top/bottom) clkin (left/right) pll (top/bottom) pll (left/right) pll (corner) dll0 clk12p clk13p clk14p clk15p clk0p clk1p pll_t1 pll_l2 ? dll1 clk4p clk5p clk6p clk7p clk0p clk1p pll_b1 ? ? 7?30 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation 1 if you use the altmemphy megafunction or uniphy ip core, altera recommends using the dedicated pll input pin for the pll reference clock. dll2 clk4p clk5p clk6p clk7p clk10p clk11p pll_b2 ? ? dll3 clk12p clk13p clk14p clk15p clk10p clk11p pll_t2 pll_r2 ? table 7?9. dll reference clock input for ep2agz225, ep2agz300, and ep2agz350 devices in the 1517-pin fineline bga package dll clkin (top/bottom) clkin (left/right) pll (top/bottom) pll (left/right) pll (corner) dll0 clk12p clk13p clk14p clk15p clk0p clk1p clk2p clk3p pll_t1 pll_l2 ? dll1 clk4p clk5p clk6p clk7p clk0p clk1p clk2p clk3p pll_b1 pll_l3 ? dll2 clk4p clk5p clk6p clk7p clk8p clk9p clk10p clk11p pll_b2 pll_r3 ? dll3 clk12p clk13p clk14p clk15p clk8p clk9p clk10p clk11p pll_t2 pll_r2 ? table 7?8. dll reference clock input for ep2agz225, ep2agz300, and ep2agz350 devices in the 1152-pin fineline bga package (part 2 of 2) dll clkin (top/bottom) clkin (left/right) pll (top/bottom) pll (left/right) pll (corner) chapter 7: external memory interfaces in arria ii devices 7?31 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?20 shows the dqs phase-shift circuitry for arria ii devices. the input reference clock goes into the dll to a chain of up to 16 delay elements. the phase comparator compares the signal coming out of the end of the delay chain block to the input reference clock. the phase comparator then issues the upndn signal to the gray-coded counter. this signal increments or decrements a 6-bit delay setting (dqs delay settings) that increases or decreases the delay through the delay element chain to bring the input reference clock and the signals coming out of the delay element chain in phase. you can reset the dll from either the logic array or a user i/o pin. each time the dll is reset, you must wait for 1,280 clock cycles for the dll to lock before you can capture the data properly. depending on the dll frequency mode, the dll can shift the incoming dqs signals by 0, 22.5, 30, 36, 45, 60, 67.5, 72, 90, 108, 120, 135, 144, 180, or 240. the shifted dqs signal is then used as the clock for the dq ioe input registers. all dqs/cq and cqn pins, referenced to the same dll, can have their input signal phase shifted by a different degree amount but all must be referenced at one particular frequency. for example, you can have a 90 phase shift on dqs1t and a 60 phase shift on dqs2t , referenced from a 200-mhz clock. not all phase-shift combinations are supported. the phase shifts on the dqs pins referenced by the same dll must all be a multiple of 22.5 (up to 90), 30 (up to 120), 36 (up to 144), 45 (up to 180), or 60 (up to 240). figure 7?20. simplified diagram of the dqs phase-shift circuitry for arria ii devices (note 1) notes to figure 7?20 : (1) all features of the dqs phase-shift circuitry are accessible from the uniphy ip core and altmemphy megafunction in the quart us ii software. (2) the input reference clock for the dqs phase-shift circuitry can come from a pll output clock or an input clock pin. for the exact pll and input clock pin, refer to table 7?6 and table 7?10 . (3) phase offset settings can only go to the dqs logic blocks. (4) dqs delay settings can go to the logic array and dqs logic block. 6 6 6 phase offset control a 6 phase offset settings from the logic array dll0 phase offset settings to top and right side, dll1 phase offset settings to b ottom side of the de v ice (3) dqs delay settings delayctrlo u t [5:0] offsetdelayctrlo u t [5:0] offsetdelayctrlo u t [5:0] dqs u pdate aload clk (4) inp u t reference clock (2) u pndnin u pndninclkena dll 6 phase comparator delay chains up/do w n co u nter 6 phase offset control b phase offset settings from the logic array dll0 phase offset settings to b ottom side, dll1 phase offset settings 6 addns ub (dll_offset_ctrl_a) (dll_offset_ctrl_ b ) addns ub ( offset [5:0] ) ( offset [5:0] ) to right and top side of the de v ice (3) offsetdelayctrlin [5:0] offsetdelayctrlin [5:0] (offsetctrlo u t [5:0]) (offsetctrlo u t [5:0]) 7?32 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation there are seven different frequency modes for arria ii gx dlls, and eight different frequency modes for arria ii gz dlls as shown in table 7?10 . each frequency mode provides different phase-shift selections. in frequency mode 0, 1, 2, and 3, the 6-bit dqs delay settings vary with pvt to implement the phase-shift delay. in frequency modes 4, 5, 6, and 7 only 5 bits of the dqs delay settings vary with pvt to implement the phase-shift delay; the msb of the dqs delay setting is set to 0 . f for the frequency range of each mode, refer to the device datasheet for arria ii devices . for a 0 shift, the dqs/cq signal bypasses both the dll and dqs logic blocks. the quartus ii software automatically sets the dq input delay chains so that the skew between the dq and dqs/cq pin at the dq ioe registers is negligible when the 0 shift is implemented. you can feed the dqs delay settings to the dqs logic block and the logic array. the shifted dqs/cq signal goes to the dqs bus to clock the ioe input registers of the dq pins. the signal can also go into the logic array for resynchronization if you do not use the ioe resynchronization registers. the shifted cqn signal can go to the negative-edge input register in the dq ioe or the logic array and is only used for qdr ii+/qdr ii sram interfaces. phase offset control each dll has two phase offset modules and can provide two separate dqs delay settings with independent offset; for arria ii gx devices, one offset goes clockwise half-way around the chip and the other goes counter-clockwise half-way around the chip and for arria ii gz devices, one for the top and bottom i/o bank and one for the left and right i/o bank. even though you have independent phase offset control, the frequency of the interface with the same dll must be the same. use the phase offset control module for making small shifts to the input signal and use the dqs phase-shift circuitry for larger signal shifts. for example, if the dll only offers a multiple of 30 phase shift, but your interface must have a 67.5 phase shift on the dqs signal, you can use two delay chains in the dqs logic blocks to give you a 60 phase shift and use the phase offset control feature to implement the extra 7.5 phase shift. table 7?10. dll frequency modes for arria ii devices frequency mode available phase shift number of delay chains 0 22.5, 45, 67.5, 90 16 1 30, 60, 90, 120 12 2 36, 72, 108, 144 10 3 45, 90, 135, 180 8 4 30, 60, 90, 120 12 5 36, 72, 108, 144 10 6 45, 90, 135, 180 8 7 (1) 60, 120, 180, 240 6 note to table 7?10 : (1) frequency mode 7 is only available for arria ii gz devices only. chapter 7: external memory interfaces in arria ii devices 7?33 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration you can either use a static phase offset or a dynamic phase offset to implement the additional phase shift. the available additional phase shift is implemented in 2s: complement in gray-code between the ?64 to +63 settings for frequency mode 0, 1, 2, and 3, and between the ?32 to +31 settings for frequency modes 4, 5, 6, and 7. an additional bit indicates whether the setting has a positive or negative value. the settings are linear and each phase offset setting adds a delay amount. f for more information about the specified phase-shift settings, refer to the device datasheet for arria ii devices . the dqs phase shift is the sum of the dll delay settings and the user-selected phase offset settings whose top setting is 64 for frequency modes 0, 1, 2, and 3; 32 for frequency modes 4, 5, 6, and 7. therefore, the actual physical offset setting range is 64 or 32 subtracted by the dqs delay settings from the dll. 1 if you use this feature, monitor the dqs delay settings to know how many offsets you can add and subtract in the system. the dqs delay settings output by the dll are also gray-coded. for example, if the dll determines that dqs delay settings of 28 are required to achieve a 30 phase shift in dll frequency mode 1, you can subtract up to 28 phase offset settings and add up to 35 phase offset settings to achieve the optimal delay required. however, if the same dqs delay settings of 28 is required to achieve a 30 phase shift in dll frequency mode 4, subtract up to 28 phase offset settings, but only add up to 3 phase offset settings before the dqs delay settings reach their maximum settings because dll frequency mode 4 only uses 5-bit dll delay settings. f for more information about the value for each step, refer to the device datasheet for arria ii devices . when using static phase offset, specify the phase offset amount in the altmemphy megafunction as a positive number for addition or a negative number for subtraction. you can also have a dynamic phase offset that is always added to, subtracted from, or both added to and subtracted from the dll phase shift. when you always add or subtract, you can dynamically input the phase offset amount into the dll_offset[5..0] port. when you want to both add and subtract dynamically, you control the addnsub signal in addition to the dll_offset[5..0] signals. 7?34 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation dqs logic block each dqs/cq and cqn pin is connected to a separate dqs logic block, which consists of dqs delay chains, update enable circuitry, and dqs postamble circuitry (refer to figure 7?21 ). dqs delay chains dqs delay chains consist of a set of variable delay elements to allow the dqs/cq and cqn in out signals to be shifted by the amount specified by the dqs phase-shift circuitry or the logic array. there are four delay elements in the dqs delay chain; the first delay chain closest to the dqs/cq or cqn pin can either be shifted by the dqs delay settings or by the sum of dqs delay setting and the phase-offset setting. the number of delay chains required is transparent because the altmemphy megafunction and uniphy ip core automatically set it when you choose the operating frequency. the dqs delay settings can come from the dqs phase-shift circuitry on either end of the i/o banks or from the logic array. figure 7?21. dqs logic block for arria ii devices notes to figure 7?21 : (1) the input reference clock for the dqs phase-shift circuitry can come from a pll output clock or an input clock pin. for the exact pll and input clock pin, refer to table 7?6 on page 7?28 and table 7?10 on page 7?32 . (2) the dqsenable signal can also come from the arria ii gx fpga fabric. dq update ena b le circ u itry 6 6 6 6 6 6 dqs delay settings from the dqs phase-shift circ u itry dqs/cq or cqn pin inp u t reference clock (1) dqs delay chain bypa ss phase offset settings from dqs phase-shift circ u itry 6 6 dqs ena b le dqs bu s pre q d postam b le ena b le resynchronization clock d d q q dqsin offsetctrlin [5:0] 0 1 chapter 7: external memory interfaces in arria ii devices 7?35 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration the delay elements in the dqs logic block have the same characteristics as the delay elements in the dll. when the dll is not used to control the dqs delay chains, you can input your own gray-coded 6-bit or 5-bit settings with the dqs_delayctrlin[5..0] signals available in the altmemphy megafunction and uniphy ip core. these settings control 1, 2, 3, or all 4 delay elements in the dqs delay chains. the altmemphy megafunction and uniphy ip core can also dynamically choose the number of dqs delay chains required for the system. the amount of delay is equal to the sum of the delay element?s intrinsic delay and the product of the number of delay steps and the value of the delay steps. you can also bypass the dqs delay chain to achieve a 0 phase shift. update enable circuitry both the dqs delay settings and the phase-offset settings pass through a register before going into the dqs delay chains. the registers are controlled by the update enable circuitry to allow enough time for any changes in the dqs delay setting bits to arrive at all the delay elements. this allows them to be adjusted at the same time. the update enable circuitry enables the registers to allow enough time for the dqs delay settings to travel from the dqs phase-shift circuitry or core logic to all the dqs logic blocks before the next change. it uses the input reference clock or a user clock from the core to generate the update enable output. the altmemphy megafunction and uniphy ip core use this circuit by default. figure 7?22 shows an example waveform of the update enable circuitry output. dqs postamble circuitry for external memory interfaces that use a bidirectional read strobe such as in ddr3, ddr2, and ddr sdram, the dqs signal is low before going to or coming from a high-impedance state. the state in which dqs is low, just after a high-impedance state, is called the preamble; the state in which dqs is low, just before it returns to a high-impedance state, is called the postamble. there are preamble and postamble specifications for both read and write operations in ddr3, ddr2, and ddr sdram. the dqs postamble circuitry ensures that data is not lost if there is noise on the dqs line at the end of a read postamble time. arria ii devices have dedicated postamble registers that you can control to ground the shifted dqs signal used to clock the dq input registers at the end of a read operation. this ensures that any glitches on the dqs input signals at the end of the read postamble time do not affect the dq ioe registers. figure 7?22. dqs update enable waveform update ena b le circ u itry o u tp u t system clock dqs delay settings (updated e v ery 8 cycles) dll cou n te r update (eve r y 8 cycle s ) 6 b it dll cou n te r update (eve r y 8 cycle s ) 7?36 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation in addition to the dedicated postamble register, arria ii gz devices also have a half-data rate (hdr) block inside the postamble enable circuitry. use these registers if the controller is running at half the frequency of the i/os. using the hdr block as the first stage capture register in the postamble enable circuitry block is optional. the hdr block is clocked by the half-rate resynchronization clock, which is the output of the i/o clock divider circuit (shown in figure 7?26 on page 7?39 ). there is an and gate after the postamble register outputs that is used to avoid postamble glitches from a previous read burst on a non-consecutive read burst. this scheme allows a half-a-clock cycle latency for dqsenable assertion and zero latency for dqsenable de-assertion shown in figure 7?23 . figure 7?23. avoiding glitch on a non-consecutive read burst waveform delayed by 1/2t logic preamble postamble postamble glitch dqs postamble enable d q senable chapter 7: external memory interfaces in arria ii devices 7?37 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration arria ii gz dynamic on-chip termination control figure 7?24 shows the dynamic oct control block. the block includes all the registers required to dynamically turn on the on-chip parallel termination (r t oct) during a read and turn r t oct off during a write. f for more information about the dynamic oct control block, refer to the i/o features in arria ii devices chapter. i/o element registers ioe registers are expanded to allow source-synchronous systems to have faster register-to-register transfers and resynchronization. for arria ii gx devices, both top, bottom, and right ioes have the same capability. right ioes have extra features to support lvds data transfer. for arria ii gz devices, both top and bottom, and left and right ioes have the same capability. left and right ioes have extra features to support lvds data transfer. figure 7?24. dynamic oct control block for arria ii gz devices note to figure 7?24 : (1) the write clock comes from the pll. oct control write clock (1) oct enable resynchronization registers oct half-rate clock oct control path dff dff 2 hdr block 7?38 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation figure 7?25 shows the registers available in the arria ii gx input path. the input path consists of ddr input registers and resynchronization registers. you can bypass each block of the input path. figure 7?25. ioe input registers for arria ii gx devices (note 1) notes to figure 7?25 : (1) you can bypass each register block in this path. (2) the input clock can be from the dqs logic block (whether the postamble circuitry is bypassed or not) or from a global clock line. (3) this input clock comes from the cqn logic block. (4) the dqs signal must be inverted for ddr interfaces except for the qdr ii+/qdr ii sram interfaces. this inversion is done aut omatically if you use the altera external memory interface ips. dff i dff input reg a input reg b neg_reg_out i dq dq dqs (2), (4) dq input reg c i dff dq double data rate input registers dqsn differential input buffer 1 0 cqn (3) synchronization registers dff dq dq dff to core (rdata0) to core (rdata1) resynchronization clock (resync_clk_2x) (3) datain regouthi regoutlo chapter 7: external memory interfaces in arria ii devices 7?39 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 7?26 shows the registers available in the arria ii gz input path. the input path consists of the ddr input registers, resynchronization registers, and hdr block. you can bypass each block of the input path. figure 7?26. ioe input registers for arria ii gz devices (note 1) notes to figure 7?26 : (1) you can bypass each register block in this path. (2) this is the 0-phase resynchronization clock. (3) the input clock can be from the dqs logic block (whether the postamble circuitry is bypassed or not) or from a gclk line. (4) this input clock comes from the cqn logic block. (5) this resynchronization clock comes from a pll through the clock network ( resync_ck_2 ? dataoutbypass signal after configuration to select either the directin input or the output from the half data rate register to feed dataout . (9) the dqs and dqsn signals must be inverted for ddr, ddr2, and ddr3 interfaces. when using altera?s memory interface ips, the dqs, and dqsn signals are automatically inverted. (10) the bypass_output_register option allows you to select either the output from the second mux or the output of the fourth alignment/ synchronization register to feed dataout . 1 cqn (4) dff i dff input reg a input reg b neg_reg_out i i dq d q 0 dqs/cq (3) , (9) dq input reg c dff dq double data rate input registers dqsn (9) differential input buffer dff dff dq dq dff dq dff dff dq dq dff dq alignment and synchronization registers half data rate registers to core dataout[2] (7) to core dataout [0] (7) to core dataout [3] (7) to core dataout [1] (7) to core (7) 0 1 dataoutbypass (8) (2) dff dq dff dq 0 1 7?40 chapter 7: external memory interfaces in arria ii devices arria ii external memory interface features arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation there are three registers in the ddr input registers block. two registers capture data on the positive and negative edges of the clock, and the third register aligns the captured data. you can choose to use the same clock for the positive edge and negative edge registers, or two complementary clocks (dqs/cq for positive-edge register and dqsn/cqn for negative-edge register). the third register that aligns the captured data uses the same clock as the positive edge registers. for arria ii gx devices, the resynchronization registers resynchronize the data to the resynchronization clock domain. these registers are clocked by the resynchronization clock that is generated by the pll. the outputs of the resynchronization registers go straight to the core. for arria ii gz devices, the resynchronization registers resynchronize the data to the system clock domain. these registers are clocked by the resynchronization clock that is generated by the pll. the outputs of the resynchronization registers can go straight to the core or to the hdr blocks, which are clocked by the divided-down resynchronization clock. figure 7?27 shows the registers available in the arria ii gx output and output enable paths. the device can bypass each block of the output and output enable path. figure 7?27. ioe output and output enable path registers for arria ii gx devices (note 1) notes to figure 7?27 : (1) you can bypass each register block of the output and output-enable paths. (2) the write clock comes from the pll. the dq write clock and dqs write clock have a 90 offset between them. dq dff dq dff 0 1 output reg ao output reg bo dq dff dq dff or2 tri oe reg b oe oe reg a oe double data rate output-enable registers double data rate output registers dq or dqs oe from core datahi from core datainlo from core dataout dataout write clock (2) chapter 7: external memory interfaces in arria ii devices 7?41 arria ii external memory interface features june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration for arria ii gx devices, the output path is designed to route combinatorial or registered single data rate (sdr) outputs and ddr outputs from the fpga core. the output enable path has a structure similar to the output path. you can have a combinatorial or registered output in sdr applications. figure 7?28 shows the registers available in the arria ii gz output and output-enable paths. the path is divided into the hdr block, resynchronization registers, and output and output-enable registers. the device can bypass each block of the output and output-enable path. figure 7?28. ioe output and output-enable path registers for arria ii gz devices (note 1) notes to figure 7?28 : (1) you can bypass each register block of the output and output-enable paths. (2) data coming from the fpga core are at half the frequency of the memory interface clock frequency in half-rate mode. (3) the half-rate clock comes from the pll. (4) the write clock comes from the pll. the dq write clock and dqs write clock have a 90 offset between them. dff dff dq dq dff dq dff dff dq dq dff dq half data rate to single data rate output registers dff dff dq dq dff dq half data rate to single data rate output-enable registers 0 1 0 1 0 1 from core (2) from core (2) from core (wdata2) (2) from core (wdata0) (2) from core (wdata3) (2) from core (wdata1) (2) dq dff dq dff 0 1 output reg ao output reg bo dq dff dq dff or2 tri oe reg b oe oe reg a oe 0 1 double data rate output-enable registers double data rate output registers dq or dqs write clock (4) half-rate clock (3) 7?42 chapter 7: external memory interfaces in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation for arria ii gz devices, the output path is designed to route combinatorial or registered sdr outputs and full-rate or half-rate ddr outputs from the fpga core. half-rate data is converted to full-rate using the hdr block, clocked by the half-rate clock from the pll. the output-enable path has a structure similar to the output path. you can have a combinatorial or registered output in sdr applications and you can use half-rate or full-rate operation in ddr applications. also, the ouput-enable path?s resynchronization registers have a structure similar to the output path registers, ensuring that the output-enable path goes through the same delay and latency as the output path. document revision history ta b l e 7 ?11 shows the revision history for this document. table 7?11. document revision history (part 1 of 2) date version changes june 2011 4.1 ?arria ii memory interfaces pin s u pport? section by adding reference to the section i. device and pin planning in volume 2 of the external memory interface handbook and removing ?table 7?1: memory interface pin utilization?. chapter 7: external memory interfaces in arria ii devices 7?43 document revision history june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration june 2009 1.2 7?44 chapter 7: external memory interfaces in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration july 2012 aiigx51008-4.3 subscribe ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 8. high-speed differential i/o interfaces and dpa in arria ii devices this chapter describes the high-speed differential i/o features and resources, the functionality of the serializer/deserializer (serdes), and the dynamic phase alignment (dpa) circuitry in arria ? ii devices. this chapter contains the following sections: 1 true mini-lvds and rsds inputs are not supported. the lvpecl i/o standard is only used for phase-locked loop (pll) clock inputs in differential mode. july 2012 aiigx51008-4.3 8?2 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices lvds channels arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation f for specifications and features of the differential i/o standards supported in arria ii devices, refer to the i/o features in arria ii devices and arria ii devices data sheet chapters. lvds channels in arria ii gx devices, there are true lvds input buffers and lvds i/o buffers at the top, bottom, and right side of the device. the lvds input buffers have 100- ? ? refclk support for the lvds. dedicated serdes and dpa circuitries are implemented on the right i/o banks of arria ii gx devices and row i/o banks of arria ii gz devices to further enhance the lvds interface performance in the device. for column i/o banks in arria ii devices, serdes is implemented in the core logic because there is no dedicated serdes circuitry. 1 when you configure the i/o buffers as lvds input with r d oct enabled, you must set both v ccio and v ccpd to 2.5 v . f for more information about i/o banks, refer to the i/o features in arria ii devices chapter. chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?3 locations of the i/o banks july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration locations of the i/o banks arria ii i/os are divided into 16 to 20 i/o banks. for arria ii gx devices, the high-speed differential i/o s are located at the right side of the device. for arria ii gz devices, the high-speed differential i/os are located at the right and left sides of the device. figure 8?1 and figure 8?2 show a high-level chip overview of arria ii devices. figure 8?1. high-speed differential i/os with dpa block locations in an arria ii gx device (note 1) , (2) , (3) notes to figure 8?1 : (1) this figure is a top view of the silicon die, which corresponds to a reverse view for flip chip packages. it is a graphical representation only. (2) applicable to ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 devices. (3) there are no center plls on the right i/o banks for ep2agx45 and ep2agx65 devices. high-speed differential i/o, general purpose i/o, and memory interface high-speed differential i/o, general purpose i/o, and memory interface transceiver blocks high-speed differential i/o, general purpose i/o, and memory interface high-speed differential i/o, general purpose i/o, and memory interface pll pll pll fpga fabric (logic elements, dsp, embedded memory, and clock networks) pll pll high-speed differential i/o with dpa, general purpose i/o, and memory interface high-speed differential i/o with dpa, general purpose i/o, and memory interface pll configuration pins configuration pins 8?4 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices locations of the i/o banks arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 8?2 shows a high-level chip overview of the arria ii gz devices. ta b l e 8 ?1 to table 8?4 list the maximum number of row and column lvds i/os supported in arria ii devices. you can design the lvds i/os as true lvds input, output buffers, or emulated lvds output buffers, if the combination does not exceed the maximum count. for example, there are a total of 56 lvds pairs of i/os in 780-pin ep2agx45 device row (refer to table 8?1 ). you can design up to a maximum of either: ?? notes to figure 8?2 : (1) not available for f780 device package. (2) not available for f780 and f1152 device packages. (3) the pcie hard ip block is located on the left side of the device only (iobank_ql). fpga fabric (logic elements, dsp, embedded memory, pll pll (1) (2) (1) (2) general purpose i/o and memory interface general purpose i/o and memory interface general purpose i/o and memory interface general purpose i/o and memory interface pcie hard ip block (3) pll pll pll pll pll pll clock networks) transceiver block transceiver block transceiver block general purpose i/o and high-speed lvds i/o with dpa and soft cdr general purpose i/o and high-speed lvds i/o with dpa and soft cdr general purpose i/o and high-speed lvds i/o with dpa and soft cdr general purpose i/o and high-speed lvds i/o with dpa and soft cdr transceiver block transceiver block transceiver block chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?5 locations of the i/o banks july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 dedicated serdes and dpa circuitry are only available on the right side of the device in row i/o banks. serdes with dpa receivers are only available on differential pins in the row i/o banks and serdes transmitters are only available on transmit (tx) pins in the row i/o banks. the receive (rx) pins in row i/o banks are receiver channels without dedicated serdes and dpa circuitry. table 8?1. lvds channels supported in arria ii gx device row i/o banks (note 1) , (2) , (3) , (4) , (5) , (6) device 358-pin flipchip ubga 572-pin flipchip f bga 780-pin flipchip fbga 1152-pin flipchip fbga ep2agx45 8(r d or etx) + 8(rx, tx or etx) 24(r d or etx) + 24(rx, tx, or etx) 28(r d or etx) + 28(rx, tx, or etx) ? ep2agx65 8(r d or etx) + 8(rx, tx, or etx) 24(r d or etx) + 24(rx, tx, or etx) 28(r d or etx) + 28(rx, tx or etx) ? ep2agx95 ? 24(r d or etx) + 24(rx, tx or etx) 28(r d or etx) + 28(rx, tx or etx) 32(r d or etx) + 32(rx, tx, or etx) EP2AGX125 ? 24(r d or etx) + 24(rx, tx or etx) 28(r d or etx) + 28((rx, tx or etx) 32(r d or etx) + 32(rx, tx or etx) ep2agx190 ? ? 28(r d or etx)+ 28(rx, tx or etx) 48(r d or etx) + 48(rx, tx or etx) ep2agx260 ? ? 28(r d or etx) + 28(rx, tx or etx) 48(r d or etx) + 48(rx, tx or etx) notes to table 8?1 : (1) dedicated serdes and dpa circuitry only exist on the right side of the device in the row i/o banks. (2) r d = true lvds input buffers with r d oct support and dedicated serdes receiver channel with dpa circuitry. (3) rx = true lvds input buffers without r d oct support and dedicated serdes receiver channel with dpa circuitry. (4) tx = true lvds output buffers and dedicated serdes transmitter channel. (5) etx = emulated lvds output buffers, either lvds_e_3r or lvds_e_1r. (6) the lvds channel count does not include dedicated clock input pins and pll clock output pins. table 8?2. lvds channels supported in arria ii gx device column i/o banks (note 1) , (2) , (3) , (4) , (5) , (6) (part 1 of 2) device 358-pin flipchip ubga 572-pin flipchip f bga 780-pin flipchip fbga 1152-pin flipchip fbga ep2agx45 25(r d or etx) + 24(rx, tx, or etx) 33(r d or etx) + 32(rx, tx, or etx) 57(r d or etx) + 56(rx, tx, or etx) ? ep2agx65 25(r d or etx) + 24(rx, tx, or etx) 33(r d or etx) + 32(rx, tx, or etx) 57(r d or etx) + 56(rx, tx, or etx) ? ep2agx95 ? 33(r d or etx) + 32(rx, tx, or etx) 57(r d or etx) + 56(rx, tx, or etx) 73(r d or etx) + 72(rx, tx, or etx) EP2AGX125 ? 33(r d or etx) + 32(rx, tx, or etx) 57(r d or etx) + 56(rx, tx, or etx) 73(r d or etx) + 72(rx, tx, or etx) ep2agx190 ? ? 57(r d or etx) + 56(rx, tx, or etx) 97(r d or etx) + 96(rx, tx, or etx) 8?6 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices locations of the i/o banks arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 8 ?3 and ta b l e 8? 4 list the maximum number of row and column lvds i/os supported in arria ii gz devices. ep2agx260 ? ? 57(r d or etx) + 56(rx, tx, or etx) 97(r d or etx) + 96(rx, tx, or etx) notes to table 8?2 : (1) there are no dedicated serdes and dpa circuitry in device column i/o banks. (2) r d = true lvds input buffers with r d oct support. (3) rx = true lvds input buffers without r d oct support. (4) tx = true lvds output buffers. (5) etx = emulated lvds output buffers, either lvds_e_3r or lvds_e_1r. (6) the lvds channel count does not include dedicated clock input pins and pll clock output pins. table 8?2. lvds channels supported in arria ii gx device column i/o banks (note 1) , (2) , (3) , (4) , (5) , (6) (part 2 of 2) device 358-pin flipchip ubga 572-pin flipchip f bga 780-pin flipchip fbga 1152-pin flipchip fbga table 8?3. lvds channels supported in arria ii gz device row i/o banks (note 1) , (2) , (3) device 780-pin fineline bga 1152-pin fineline bga 1517-pin fineline bga ep2agz225 ? 42(rx or etx) + 44(tx or etx) 86(rx or etx) + 88(tx or etx) ep2agz300 ? 42(rx or etx) + 44(tx or etx) 86(rx or etx) + 88(tx or etx) ep2agz350 ? 42(rx or etx) + 44(tx or etx) 86(rx or etx) + 88(tx or etx) notes to table 8?3 : (1) rx = true lvds input buffers with r d oct, tx = true lvds output buffers, etx = emulated lvds output buffers (either lvds_e_1r or lvds_e_3r ). (2) the lvds rx and tx channels are equally divided between the left and right sides of the device, except for the devices in the 780-pin fineline bga. these devices have the lvds rx and tx located on the left side of the device. (3) the lvds channel count does not include dedicated clock input pins. table 8?4. lvds channels supported in arria ii gz device column i/o banks (note 1) , (2) , (3) device 780-pin fineline bga 1152-pin fineline bga 1517-pin fineline bga ep2agz225 ? 93(rx or etx) + 96 etx 93(rx or etx) + 96 etx ep2agz300 68(rx or etx) + 72 etx 93(rx or etx) + 96 etx 93(rx or etx) + 96 etx ep2agz350 68(rx or etx) + 72 etx 93(rx or etx) + 96 etx 93(rx or etx) + 96 etx notes to table 8?4 : (1) rx = true lvds input buffers without r d oct, etx = emulated lvds output buffers (either lvds_e_1r or lvds_e_3r ). (2) the lvds rx and tx channels are equally divided between the top and bottom sides of the device. (3) the lvds channel count does not include dedicated clock input pins. chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?7 lvds serdes and dpa block diagram july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration lvds serdes and dpa block diagram the arria ii gx devices have dedicated serdes and dpa circuitry for lvds transmitters and receivers on the right side. the arria ii gz devices have dedicated serdes and dpa circuitry for lvds transmitters and receivers on the row i/o banks. figure 8?3 shows the lvds serdes and dpa block diagram. this diagram shows the interface signals for the transmitter and receiver datapaths. for more information, refer to ?differential transmitter? on page 8?8 and ?differential receiver? on page 8?11 . figure 8?3. lvds serdes and dpa block diagram (note 1) , (2) , (3) notes to figure 8?3 : (1) this diagram shows a shared pll between the transmitter and receiver. if the transmitter and receiver are not sharing the sa me pll, two plls on the right side of the device are required. (2) in sdr and ddr modes, the data width is 1 and 2 bits, respectively. (3) the tx_in and rx_out ports have a maximum data width of 10 bits. (4) arria ii gx center/corner pll or arria ii gz left/right pll. + - + - ioe tx_in 10 serializer 2 ioe ioe supports sdr, ddr, or non-registered datapath din dout lvds transmitter lvds receiver tx_coreclock tx_out rx_in dpa circuitry synchronizer din retimed data dpa clock din dout din dout din dout deserializer bit slip 2 3 (lvds_load_en, diffioclk, tx_coreclock) ioe supports sdr, ddr, or non-registered datapath fpga fabric 10 rx_out (load_en, diffioclk) 2 diffioclk clock multiplexer rx_divfwdclk rx_outclock pll (4) rx_inclock/tx_inclock (lvds_load_en, lvds_diffioclk, rx_outclock 3 lvds_diffioclk dpa_diffioclk 3 (dpa_load_en, dpa_diffioclk, rx_divfwdclk) 8 serial lvds clock phases lvds clock domain dpa clock domain 8?8 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential transmitter arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation differential transmitter the arria ii transmitter has a dedicated circuitry to provide support for lvds signaling. the dedicated circuitry consists of a differential buffer, a serializer, and plls that can be shared between the transmitter and receiver. the differential buffer can drive out lvds, mini-lvds, and rsds signaling levels. the differential output buffer supports programmable pre-emphasis and programmable voltage output differential (v od ) controls, and can drive out mini-lvds and rsds signaling levels. figure 8?4 is a block diagram of the lvds transmitter. 1 when using emulated lvds i/o standards at the differential transmitter, the serdes circuitry must be implemented in logic cells but not hard serdes. serializer the serializer takes parallel data from the fpga fabric, clocks it into the parallel load registers, and serializes it using the shift registers before sending the data to the differential output buffer. the msb of the parallel data is transmitted first. the parallel load and shift registers are clocked by the high-speed clock running at the serial data rate ( diffioclk ) and controlled by the load enable signal ( lvds_load_en ) generated from the pll. you can statically set the serialization factor to x4, x6, x7, x8, or x10 using the altlvds megafunction. the load enable signal is derived from the serialization factor setting. you can bypass the serializer to support ddr (x2) and sdr (x1) operations to achieve a serialization factor of 2 and 1, respectively. the i/o element (ioe) contains two data output registers that can each operate in either ddr or sdr mode. figure 8?5 shows the serializer bypass path. figure 8?4. lvds transmitter block diagram (note 1) , (2) notes to figure 8?4 : (1) in sdr and ddr modes, the data width is 1 and 2 bits, respectively. (2) the tx_in port has a maximum data width of 10 bits. (3) arria ii gx center/corner pll or arria ii gz left/right pll. + - tx_coreclock fpga fabric tx_in 10 serializer 2 ioe din dout lvds transmitter ioe supports sdr, ddr, or non-registered datapath tx_out pll (3) tx_inclock (lvds_load_en, diffioclk, tx_coreclock) 3 lvds clock domain chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?9 differential transmitter july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration differential applications often require specific clock-to-data alignments or a specific data rate to clock rate factors. you can configure any arria ii lvds transmitter to generate a source-synchronous transmitter clock output. this flexibility allows the placement of the output clock near the data outputs to simplify board layout and reduce clock-to-data skew. the output clock can also be divided by a factor of 1, 2, 4, 6, 8, or 10, depending on the serialization factor. the phase of the clock in relation to the data can be set at 0 or 180 (edge or center aligned). the plls provide additional support for other phase shifts in 45 increments. these settings are made statically in the quartus ? ii megawizard ? plug-in manager software. figure 8?6 shows the arria ii lvds transmitter in clock output mode. in clock output mode, you can use an lvds data channel as a clock output channel. figure 8?5. serializer bypass path (note 1) , (2) , (3) notes to figure 8?5 : (1) all disabled blocks and signals are grayed out. (2) in ddr mode, tx_inclock clocks the ioe register. in sdr mode, data is directly passed through the ioe. (3) in sdr and ddr modes, the data width to the ioe is 1 and 2 bits, respectively. (4) arria ii gx center/corner pll or arria ii gz left/right pll. + - tx_coreclock fpga fabric tx_in 10 serializer 2 ioe din lvds transmitter ioe supports sdr, ddr, or non-registered datapath tx_out pll (4) tx_inclock (lvds_load_en, diffioclk, tx_coreclock) 3 lvds clock domain dout figure 8?6. lvds transmitter in clock output mode note to figure 8?6 : (1) arria ii gx center/corner pll or arria ii gz left/right pll. transmitter circ u it diffioclk l v ds_load_e n txclko u t? txclko u t+ parallel series fpga fa b ric pll (1) 8?10 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential transmitter arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation programmable pre-emphasis and programmable v od . pre-emphasis increases the amplitude of the high frequency component of the output signal, which helps to compensate for the frequency-dependent attenuation along the transmission line. figure 8?7 shows the lvds output single-ended waveform with and without pre-emphasis. the definition of v od is also shown. pre-emphasis is an important feature for high-speed transmission. without pre-emphasis, the output current is limited by the v od setting and the output impedance of the driver. at high frequency, the slew rate may not be fast enough to reach the full v od before the next edge, producing a pattern-dependent jitter. with pre-emphasis, the output current is boosted momentarily during switching to increase the output slew rate. the overshoot introduced by the extra current happens only during switching and does not ring, unlike the overshoot caused by signal reflection. this overshoot must not be included in the v od voltage. ta b l e 8 ?5 lists the assignment name and its possible values for programmable pre-emphasis in the quartus ii software assignment editor. figure 8?7. lvds output single-ended waveform with and without programmable pre-emphasis (note 1) note to figure 8?7 : (1) v p ? voltage boost from pre-emphasis. out out out out without programmable pre-emphasis with programmable pre-emphasis v od v od v p v p table 8?5. programmable pre-emphasis settings in quartus ii software assignment editor assignment name assignment value arria ii gx device arria ii gz device programmable pre-emphasis 0 (off), 1 (default on) 0 (default zero), 1 (medium low), 2 (medium high), 3 (high) chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?11 differential receiver july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration you can statically assign the v od settings from the assignment editor. ta b l e 8 ?6 lists the assignment name for programmable v od and its possible values in the quartus ii software assignment editor. differential receiver the arria ii device family has a dedicated circuitry to receive high-speed differential signals in side or row i/os. figure 8?8 shows the hardware blocks of the arria ii receiver. the receiver has a differential buffer and plls that can be shared between the transmitter and receiver, a dpa block, a synchronizer, a data realignment block, and a deserializer. the differential buffer can receive lvds signal levels, which are statically set in the quartus ii software assignment editor. figure 8?8 shows a block diagram of an lvds receiver in the right i/o bank. table 8?6. programmable v od settings in quartus ii software assignment editor assignment name assignment value arria ii gx device arria ii gz device programmable differential output voltage (v od ) 2 0, 1, 2, 3 figure 8?8. lvds receiver block diagram (note 1) , (2) notes to figure 8?8 : (1) in sdr and ddr modes, the data width from the ioe is 1 and 2 bits, respectively. (2) the rx_out port has a maximum data width of 10 bits. (3) arria ii gx center/corner pll or arria ii gz left/right pll. ioe 2 deserializer bit slip synchronizer dpa circuitry 2 clock multiplexer 8 serial lvds clock phases pll (3) rx_inclock lvds clock domain dpa clock domain 10 dout din dout din dout din din retimed data dpa clock lvds_diffiioclk dpa_diffioclk 3 (dpa_load_en, dpa_diffioclk, rx_divfwdclk) (lvds_load_en, lvds_diffioclk, rx_outclk) 3 (load_en, diffioclk) diffioclk rx_out rx_divfwdclk rx_outclock rx_in + fpga fabric lvds receiver ioe supports sdr, ddr, or non-registered datapath 8?12 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential receiver arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation the arria ii pll receives the external reference clock input ( rx_inclock ) and generates eight different phases of the same clock. the dpa block chooses one of the eight clock phases from the center/corner pll and aligns to the incoming data to maximize receiver skew margin. the synchronizer circuit is a 1-bit wide by 6-bit deep fifo buffer that compensates for any phase difference between the dpa block and the deserializer. if necessary, the user-controlled data realignment circuitry inserts a single bit of latency in the serial bit stream to align to the word boundary. the deserializer converts the serial data to parallel data and sends the parallel data to the fpga fabric. the physical medium connecting the lvds transmitter and the receiver channels may introduce skew between the serial data and the source synchronous clock. the instantaneous skew between each lvds channel and the clock also varies with the jitter on the data and clock signals, as seen by the receiver. 1 only non-dpa mode requires manual skew adjustment. arria ii devices support the following receiver modes to overcome skew between the source-synchronous or reference clock and the received serial data: 1 dedicated serdes and dpa circuitry only exist on the right side of the device. top and bottom i/o banks only support non-dpa mode, in which the serdes are implemented in the core logic. receiver hardware blocks the differential receiver has the following hardware blocks: dpa_diffioclk ) is also used to write data into the fifo buffer or to clock the serdes for soft-cdr operation. chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?13 differential receiver july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 8?9 shows the possible phase relationships between the dpa clocks and the incoming serial data. the dpa block requires a training pattern and sequence of at least 256 repetitions. the training pattern is not fixed, so you can use any training pattern with at least one transition. an optional user controlled signal ( rx_dpll_hold ) freezes the dpa clock on its current phase when asserted. this signal is useful if you do not want the dpa circuitry to continuously adjust the phase after initial phase selection. the dpa circuitry loses lock when it switches phases to maintain an optimal sampling phase. after it is locked, the dpa circuitry can lose the lock status under either of the following conditions: rx_reset ) is routed from the fpga fabric to reset the dpa circuitry while in the user mode. the dpa circuitry must be retrained after reset. synchronizer the synchronizer is a 1-bit wide and 6-bit deep fifo buffer that compensates for the phase difference between dpa_diffioclk and the high-speed clock ( lvds_diffioclk ) produced by the pll. because every dpa channel might have a different phase selected to sample the data, you need the fifo buffer to synchronize the data to the high-speed lvds clock domain. the synchronizer can only compensate for phase differences, not frequency differences between the data and the input reference clock of the receiver, and is automatically reset when the dpa circuitry first locks to the incoming data. an optional signal ( rx_fifo_reset ) is available to the fpga fabric to reset the synchronizer. altera recommends using rx_fifo_reset to reset the synchronizer when the dpa signal is in a loss-of-lock condition and the data checker indicates corrupted received data. figure 8?9. dpa clock phase to serial data timing relationship (note 1) note to figure 8?9 : (1) t vco is defined as the pll serial clock period. 45? 90? 135? 180? 225? 270? 315? 0.125t vco t vco 0? rx_in d0 d1 d2 d3 d4 dn 8?14 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential receiver arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation data realignment block (bit slip) skew in the transmitted data along with skew added by the link causes channel-to-channel skew on the received serial data streams. if you enabled the dpa block, the received data is captured with different clock phases on each channel and might cause the received data to be misaligned from channel to channel. to compensate for the channel-to-channel skew and establish the correct received word boundary at each channel, each receiver channel has a dedicated data realignment circuit that realigns the data by inserting bit latencies into the serial stream. an optional signal ( rx_channel_data_align ) controls the bit insertion of each receiver independently controlled from the internal logic. the data slips one bit on the rising edge of rx_channel_data_align . the following are requirements for the rx_channel_data_align signal: rx_channel_data_align does not result in extra slips rx_channel_data_align signal figure 8?10 shows receiver output after a one bit-slip pulse with the deserialization factor set to 4 . the data realignment circuit can have up to 11 bit-times of insertion before a rollover occurs. the programmable bit rollover point can be from 1 to 11 bit-times, independent of the deserialization factor. the programmable bit rollover point must be set to equal to or greater than the deserialization factor, allowing enough depth in the word alignment circuit to slip through a full word. you can set the value of the bit rollover point using the altlvds megafunction. an optional status signal ( rx_cda_max ) is available to the fpga fabric from each channel to indicate when the preset rollover point is reached. figure 8?10. data realignment timing rx_in rx_outclock rx_channel_data_align rx_out rx_inclock 3 3210 321x xx21 0321 2 1 0 3 2 1 0 3 2 1 0 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?15 differential receiver july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 8?11 shows a preset value of 4-bit times before rollover occurs. the rx_cda_max signal pulses for one rx_outclock cycle to indicate that rollover has occurred. deserializer the deserializer, which includes shift registers and parallel load registers, converts the serial data from the bit slip to parallel data before sending the data to the fpga fabric. the deserialization factor supported is 4, 6, 7, 8, or 10. you can bypass the deserializer to support ddr (x2) and sdr (x1) operations, as shown in figure 8?12 . you cannot use the dpa and data realignment circuit when the deserializer is bypassed. the ioe contains two data input registers that can operate in ddr or sdr mode. figure 8?11. receiver data re-alignment rollover rx_outclock rx_channel_data_align rx_cda_max rx_inclock figure 8?12. deserializer bypass (note 1) , (2) , (3) notes to figure 8?12 : (1) all disabled blocks and signals are grayed out. (2) in ddr mode, rx_inclock clocks the ioe register. in sdr mode, data is directly passed through the ioe. (3) in sdr and ddr modes, the data width from the ioe is 1 and 2 bits, respectively. (4) arria ii gx center/corner pll or arria ii gz left/right pll. ioe 2 deserializer dese r deser ia li z ializ e r er bit slip synchroni z e r d p a circuitr p p y 2 c loc k m u l t ipl exer p 8 s e r i a l l vds l l c loc k ph ases pll (4) p l l ( 4 ) 2 dout din dout din do u t din din r etimed dat a dp a cloc p p k l vds_diffiioclk l l d p a_diffioclk p p 3 (dpa_l o ad_en, dpa_di ff ioclk, r x_divfwdclk ) (lvd s _l o ad_en, l vd s _diffioclk, rx_outclk ) 3 ( l o ad_en, diffioclk) d i ff io c l k rx_out r x _ div f wdcl k rx_outclock rx_in + fpga fabric lvds receiver ioe supports sdr, ddr, or non-registered datapath 8?16 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential receiver arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation receiver datapath modes arria ii devices support the following three receiver datapath modes: lvds_diffioclk clock produced by the pll. you can select the rising/falling edge option using the altlvds megafunction. both data realignment and deserializer blocks are clocked by the lvds_diffioclk clock. for arria ii gx devices, you must perform pcb trace compensation to adjust the trace length of each lvds channel to improve channel-to-channel skew when interfacing with non-dpa receivers at data rate above 840 mbps. the quartus ii software fitter report panel reports the amount of delay you must add to each trace for the arria ii gx device. you can use the recommended trace delay numbers published under the lvds transmitter/receiver package skew compensation panel and manually compensate the skew on the pcb board trace to reduce channel-to-channel skew, thus meeting the timing budget between lvds channels. 1 for more information about the lvds transmitter/receiver package skew compensation report panel, refer to the ?arria ii gx lvds package skew compensation report panel? section in the serdes transmitter/receiver (altlvds) megafunction user guide . chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?17 differential receiver july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 8?13. receiver datapath in non-dpa mode (note 1) , (2) , (3) notes to figure 8?13 : (1) all disabled blocks and signals are grayed out. (2) in sdr and ddr modes, the data width from the ioe is 1 and 2 bits, respectively. (3) the rx_out port has a maximum data width of 10 bits. (4) arria ii gx center/corner pll or arria ii gz left/right pll. 2 deserializer bit slip synchroniz er dp a circuitr p p y 2 clock multiplexer 8 s e r ial l vds l l c loc k phases pll (4) rx_inclock lvds clock domain 10 dout din dout din d o u t din n d i n r etimed d at a dp a cloc p p k l vds_diffiioclk l l d p a_diffioclk p p 3 ( dpa_load_en , d pa_diffioclk, rx_divfwdclk ) (lvds_load_en, lvds_diffioclk, rx_outclk) 3 (load_en, diffioclk) diffioclk rx_out r x_div f wdclk rx_outclock rx_in + fpga fabric lvds receiver i oe suppo r t s sdr, ddr, or non-registered datapat h i o e 8?18 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential receiver arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation dpa mode in dpa mode, the dpa circuitry automatically chooses the optimal phase between the source-synchronous reference clock and the input serial data to compensate for the skew between the two signals. the reference clock must be a differential signal. figure 8?14 shows the dpa mode datapath. use the dpa_diffioclk clock to write serial data into the synchronizer. use the lvds_diffioclk clock to read the serial data from the synchronizer. use the same lvds_diffioclk clock in the data realignment and deserializer blocks. figure 8?14. receiver datapath in dpa mode (note 1) , (2) , (3) notes to figure 8?14 : (1) all disabled blocks and signals are grayed out. (2) in sdr and ddr modes, the data width from the ioe is 1 and 2 bits, respectively. (3) the rx_out port has a maximum data width of 10 bits. (4) arria ii gx center/corner pll or arria ii gz left/right pll. 2 deserializer bit slip synchronizer dpa circuitry 2 clock multiplier 8 serial lvds clock phases pll (4) rx_inclock lvds clock domain dpa clock domain 10 dout din dout din dout din din retimed data dpa clock lvds_diffiioclk dpa_diffioclk 3 (dpa_load_en, dpa_diffioclk, rx_divfwdclk) (lvds_load_en, lvds_diffioclk, rx_outclk) 3 (load_en, diffioclk) diffioclk rx_out rx_divfwdcl k rx_outclock rx_in + fpga fabric lvds receiver ioe su pp o r t s sdr, ddr, or non-re g istered datapat h io e chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?19 differential receiver july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration soft cdr mode figure 8?15 shows the soft cdr mode datapath block diagram. in soft cdr mode, the pll uses the local clock source as the reference clock. the reference clock must be a differential signal. the dpa circuitry continuously changes its phase to track the parts per million (ppm) difference between the upstream transmitter and the local receiver reference input clocks. use the dpa_diffioclk clock for bit-slip operation and deserialization. the dpa_diffioclk clock is divided by the deserialization factor to produce the rx_divfwdclk clock, which is then forwarded to the fpga fabric. the receiver output data ( rx_out ) to the fpga fabric is synchronized to this clock. the parallel clock rx_outclock , generated by the center/corner pll, is also forwarded to the fpga fabric. figure 8?15. receiver datapath in soft cdr mode (note 1) , (2) , (3) notes to figure 8?15 : (1) all disabled blocks and signals are grayed out. (2) in sdr and ddr modes, the data width from the ioe is 1 and 2 bits, respectively. (3) the rx_out port has a maximum data width of 10 bits. (4) arria ii gx center/corner pll or arria ii gz left/right pll. 2 deserializer bit slip synchronizer dpa circuitry 2 clock multiplexer 8 serial lvds clock phases pll (4) rx_inclock lvds clock domain dpa clock domain 10 dout din dout din dout din din retimed data dpa clock lvds_diffiioclk dpa_diffioclk 3 (dpa_load_en, dpa_diffioclk, rx_divfwdclk) (lvds_load_en, lvds_diffioclk, rx_outclk) 3 (load_en, diffioclk) diffioclk rx_out rx_divfwdclk rx_outclock rx_in + fpga fabric lvds receiver ioe su pp o r t s sdr, ddr, or non-re g istered datapat h io e 8?20 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential receiver arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation differential i/o termination the arria ii device family provides a 100- ? clk[4..15] ) do not support oct. for arria ii gz devices, r d oct is supported on all row i/o pins and dedicated clock input pins ( clk[0,2,9,11] ). it is not supported for column i/o pins and dedicated clock input pins ( clk[1,3,8,10] ). figure 8?16 shows lvds input oct. ta b l e 8 ?7 lists the assignment name and its value for differential input oct in the quartus ii software assignment editor. f for more information, refer to i/o features in arria ii devices chapter. figure 8?16. lvds input buffer i/o r d oct table 8?7. differential input oct in quartus ii software assignment editor assignment name assignment value input termination (accepts wildcards/groups) differential l v ds transmitter arria ii differential recei v er w ith = 100 oct r d r d z 0 = 50 z 0 = 50 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?21 plls july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration plls arria ii gx devices contain up to six plls with up to four center and corner plls located on the right side of the device. use the center/corner pll on the right side of the device to generate parallel clocks ( rx_outclock and tx_outclock ) and high-speed clocks ( diffioclk ) for the serdes and dpa circuitry. figure 8?1 on page 8?3 shows the locations of the plls for arria ii gx devices. clock switchover and dynamic reconfiguration are allowed using the center/corner plls in high-speed differential i/o support mode. arria ii gz devices contain up to four left and right plls with up to two plls located on the left side and two on the right side of the device. the left plls can support high-speed differential i/o banks on the left side; the right plls can support high-speed differential i/o banks on the right side of the device. the high-speed differential i/o receiver and transmitter channels use these left and right plls to generate the parallel clocks ( rx_outclock and tx_outclock ) and high-speed clocks ( diffioclk ). figure 8?2 on page 8?4 shows the locations of the left and right plls for arria ii gz devices. the pll vco operates at the clock frequency of the data rate. clock switchover and dynamic reconfiguration are allowed using the left and right pll in high-speed differential i/o support mode. f for more information about plls, refer to the clock network and plls in arria ii devices chapter. lvds and dpa clock networks arria ii gx devices only have lvds and dpa clock networks on the right side of the device. the center/corner plls feed into the differential transmitter and receiver channels through the lvds and dpa clock networks. figure 8?17 and figure 8?18 show the lvds clock tree for family members without center plls and with center plls, respectively. the center plls can drive the lvds clock tree above and below them. in arria ii gx devices with or without center plls, the corner plls can drive both top and bottom lvds clock tree. figure 8?17. lvds and dpa clock networks in the arria ii gx devices without center plls 4 q u adrant q u adrant q u adrant q u adrant 8 4 4 l v ds clock corner pll dpa clock 4 corner pll n o l v ds and dpa clock net w orks on the left side of the de v ice 8?22 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices lvds and dpa clock networks arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation arria ii gz devices have left and right plls that feed into the differential transmitter and receive channels through the lvds and dpa clock network. the center left and right plls can clock the transmitter and receive channels above and below them. figure 8?19 shows center pll clocking in arria ii gz devices. for more information about arria ii devices pll clocking restrictions, refer to ?differential pin placement guidelines? on page 8?27 . figure 8?18. lvds and dpa clock networks in the arria ii gx devices with center plls 4 4 q u adrant q u adrant q u adrant q u adrant 8 4 4 4 8 4 l v ds clock center pll center pll dpa clock l v ds clock dpa clock corner pll corner pll n o l v ds and dpa clock net w orks on the left side of the de v ice figure 8?19. lvds/dpa clocks in the arria ii gz devices with center plls 4 2 2 2 2 4 4 4 4 4 4 4 q u adrant q u adrant q u adrant q u adrant l v ds clock center pll_l2 center pll_l3 dpa clock l v ds clock dpa clock l v ds clock center pll_r2 center pll_r3 dpa clock l v ds clock dpa clock chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?23 source-synchronous timing budget july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration source-synchronous timing budget this section describes the timing budget, waveforms, and specifications for source-synchronous signaling in arria ii devices. timing analysis for the differential block is different from traditional synchronous timing analysis techniques. therefore, it is important to understand how to analyze timing for high-speed differential signals. this section defines the source-synchronous differential data orientation timing parameters, timing budget definitions, and how to use these timing parameters to determine your design?s maximum performance. differential data orientation there is a set relationship between an external clock and the incoming data. for operation at 1 gbps and a serialization factor of 10, the external clock is multiplied by 10. you can set the phase-alignment in the pll to coincide with the sampling window of each data bit. the data is sampled on the falling edge of the multiplied clock. figure 8?20 shows the data bit orientation of x10 mode. differential i/o bit position data synchronization is necessary for successful data transmission at high frequencies. figure 8?21 shows data bit orientation for a channel operation. these figures are based on the following: 9 8 7 6 5 4 3 2 1 0 10 l v ds bits msb lsb inclock/o u tclock data in 8?24 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices source-synchronous timing budget arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 8 ?8 lists the conventions for differential bit naming for 18 differential channels. the msb and lsb positions increase with the number of channels used in a system. figure 8?21. bit order and word boundary for one differential channel (note 1) note to figure 8?21 : (1) these waveforms are only functional waveforms and are not intended to convey timing information. previous cycle 76543210 msb lsb tx_outclock tx_out xxxxxxxx xxx xxxxx current cycle next cycle transmitter channel operation (x8 mode) x xxxxxxxx rx_inclock rx_in 76543210 xxx xxxxxxx xxxx x receiver channel operation (x8 mode) rx_outclock rx_out [7..0] x x x x x x x x x x x x x x x x x x x x 7 6 5 4 3 2 1 0 x x x x table 8?8. differential bit naming receiver channel data number internal 8-bit parallel data msb position lsb position 170 2158 32316 43124 53932 64740 75548 86356 97164 10 79 72 11 87 80 12 95 88 13 103 96 14 111 104 15 119 112 16 127 120 17 135 128 18 143 136 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?25 source-synchronous timing budget july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration transmitter channel-to-channel skew transmitter channel-to-channel skew (tccs) is an important parameter based on the arria ii transmitter in a source synchronous differential interface. this parameter is used in receiver skew margin calculation. tccs is the difference between the fastest and slowest data output transitions, including the tco variation and clock skew. for lvds transmitters, the timequest timing analyzer provides a tccs report, which shows tccs values for serial output ports. f you can get the tccs value from the tccs report ( report_tccs ) in the quartus ii compilation report under the timequest analyzer or from the arria ii device data sheet chapter. receiver skew margin for non-dpa mode changes in system environment, such as temperature, media (cable, connector, or pcb), and loading, effect the receiver?s setup and hold times; internal skew affects the sampling ability of the receiver. different modes of lvds receivers use different specifications, which can help in deciding the ability to sample the received serial data correctly. in dpa mode, use dpa jitter tolerance instead of receiver skew margin (rskm). in non-dpa mode, use rskm, tccs, and sampling window (sw) specifications for high-speed source-synchronous differential signals in the receiver datapath. the relationship between rskm, tccs, and sw is expressed by the rskm equation shown in equation 8?1 : where: ? tccs ? 2 ---------------------------------------------- = 8?26 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices source-synchronous timing budget arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 8?22 shows the relationship between the rskm, tccs, and sw. for lvds receivers, the quartus ii software provides the rskm report showing sw, tui, and rskm values for non-dpa mode. you can generate the rskm by executing the report_rskm command in the timequest analyzer. you can find the rskm report in the quartus ii compilation report under timequest timing analyzer section. 1 to obtain the rskm value, assign an appropriate input delay to the lvds receiver through the timequest analyzer constraints menu. figure 8?22. differential high-speed timing diagram and timing budget for non-dpa mode tui time unit inter v al (tui) tccs internal clock falling edge tccs tccs 2 recei v er inp u t data transmitter o u tp u t data internal clock synchronization external clock recei v er inp u t data internal clock external inp u t clock timing budge t timing diag r am clock placement s w tccs rskm rskm s w rskm rskm chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?27 differential pin placement guidelines july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration differential pin placement guidelines to ensure proper high-speed operation, differential pin placement guidelines are established. the quartus ii compiler automatically checks that these guidelines are followed and issues an error message if they are not adhered to. 1 dpa-enabled differential channels refer to dpa mode or soft cdr mode; dpa-disabled channels refer to non-dpa mode. dpa-enabled channels and single-ended i/os when single-ended i/os and lvds i/os share the same i/o bank, the placement of single-ended i/o pins with respect to lvds i/o pins is restricted. the constraints on single-ended i/os placement with respect to dpa-enabled or dpa-disabled lvds i/os are the same. 8?28 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential pin placement guidelines arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 8?23. center and corner plls driving dpa-enabled differential i/os in the same bank corner pll c pll diff i/o dpa-enabled diff i/o dpa-enabled diff i/o dpa -enabled diff i/o dpa- enabled diff i/o channels driven by corner pll channels driven by center pll one unused channel for buffe r dpa -enabled diff i/o dpa - enabled diff i/o dpa - enabled diff i/o dpa - enabled diff i/o dpa - enabled diff i/o reference reference clk clk enter chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?29 differential pin placement guidelines july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration using both center plls you can use center plls to drive dpa-enabled channels simultaneously, if they drive these channels in their adjacent banks only, as shown in figure 8?23 . 1 center plls are available at the right i/o banks of arria ii gx devices and the right and left i/o banks of arria ii gz devices. if one of the center plls drives the dpa-enabled channels in the upper and lower i/o banks, you cannot use the other center pll for dpa-enabled channels, as shown in figure 8?24 . figure 8?24. center plls driving dpa-enabled differential i/os reference clk dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o center pll center pll center pll center pll un u sed pll reference clk reference clk reference clk dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o dpa-ena b led diff i/o 8?30 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential pin placement guidelines arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation if the upper center pll drives dpa-enabled channels in the lower i/o bank, the lower center pll cannot drive dpa-enabled channels in the upper i/o bank, and vice versa. in other words, the center plls cannot drive cross-banks simultaneously, as shown in figure 8?25 . figure 8?25. invalid placement of dpa-disabled differential i/os driven by both center plls dpa-enabled diff i/o dpa-enabled diff i/o dpa-enabled diff i/o reference clk reference clk dpa-enabled diff i/o dpa-enabled diff i/o dpa-enabled diff i/o dpa-enabled diff i/o dpa-enabled diff i/o center pll center pll chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?31 differential pin placement guidelines july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration using both corner plls in arria ii gx devices you can use both corner plls to drive dpa-enabled channels simultaneously, if they drive the channels in their adjacent banks only. there must be at least one row of separation between the two groups of dpa-enabled channels. if one of the corner plls drives dpa-enabled channels in the upper and lower i/o banks, you cannot use the center plls. you can use the other corner pll to drive dpa-enabled channels in their adjacent bank only. there must be at least one row of separation between the two groups of dpa-enabled channels. if the upper corner pll drives dpa-enabled channels in the lower i/o bank, the lower corner pll cannot drive dpa-enabled channels in the upper i/o bank, and vice versa. in other words, the corner plls cannot drive cross-banks simultaneously, as shown in figure 8?26 . 8?32 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential pin placement guidelines arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 8?26. corner plls driving dpa-enabled differential i/os upper corner pll diff i/o dpa-enabled diff i/o dpa -enabled diff i/o dpa- enabled diff i/o reference clk upper i/o bank lower i/o bank unused plls dpa -enabled diff i/o dpa - enabled diff i/o dpa - enabled diff i/o dpa - enabled diff i/o reference clk center pll center pll lower corner pll chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?33 differential pin placement guidelines july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration guidelines for dpa-disabled differential channels when you use dpa-disabled channels, you must adhere to the guidelines in the following sections. dpa-disabled channel driving distance each pll can drive all the dpa-disabled channels in the entire bank. using corner and center plls in arria ii gx devices you can use a corner pll to drive all transmitter channels and you can use a center pll to drive all dpa-disabled receiver channels in the same i/o bank. in other words, you can drive a transmitter channel and a receiver channel in the same lab row by two different plls, as shown in figure 8?27 . figure 8?27. corner and center plls driving dpa-disabled differential i/os in the same bank diff rx corner pll corner pll center pll center pll diff tx diff rx diff rx diff tx diff tx diff tx diff tx diff tx diff tx diff rx diff rx diff tx diff rx diff rx diff tx diff rx diff rx diff rx diff tx dpa -disabled diff i /o channels dri v en b y corner pll channels dri v en b y center pll n o separation bu ffer needed reference clk reference clk reference clk reference clk dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o 8?34 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices differential pin placement guidelines arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation a corner pll and a center pll can drive duplex channels in the same i/o bank, if the channels driven by each pll are not interleaved. no separation is necessary between the group of channels driven by the corner and center left and right plls. refer to figure 8?27 and figure 8?28 . figure 8?28. invalid placement of dpa-disabled differential i/os due to interleaving of channels driven by the corner and center plls dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o reference clk dpa-disa b led diff i/o dpa-disa b led diff i/o reference clk center pll center pll chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?35 differential pin placement guidelines july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration using both center plls you can use both center plls simultaneously to drive dpa-disabled channels on upper and lower i/o banks. unlike dpa-enabled channels, the center plls can drive dpa-disabled channels cross-banks. for example, the upper center pll can drive the lower i/o bank at the same time the lower center pll is driving the upper i/o bank, and vice versa, as shown in figure 8?29 . 1 center plls are available at the right i/o banks of arria ii gx devices and the right and left i/o banks of arria ii gz devices. figure 8?29. both center plls driving cross-bank dpa-disabled channels simultaneously dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o dpa-disa b led diff i/o reference clk reference clk center pll center pll 8?36 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices setting up an lvds transmitter or receiver channel arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation using both corner plls in arria ii gx devices you can use both corner plls to drive dpa-disabled channels simultaneously. both corner plls can drive cross-banks. you can use a corner pll to drive all the transmitter channels and you can use the other corner pll to drive all dpa-disabled receiver channels in the same i/o bank. both corner plls can drive duplex channels in the same i/o bank if the channels driven by each pll are not interleaved. no separation is necessary between the group of channels driven by both corner plls. setting up an lvds transmitter or receiver channel the altlvds megafunction offers you the ease of setting up an lvds transmitter or receiver channel. you can control the settings of serdes and dpa circuitry in the altlvds megafunction. when you instantiate an altlvds megafunction, the pll is instantiated automatically and you can set the parameters of the pll. this megafunction simplifies the clocking setup for the lvds transmitter or receiver channels. however, the drawback is reduced flexibility when using the pll. the altlvds megafunction provides an option for implementing the lvds transmitter or receiver interfaces with external plls. with this option enabled, you can control the pll settings, such as dynamically reconfiguring the plls to support different data rates, dynamic phase shift, and other settings. you also must instantiate an altpll megafunction to generate the various clock and load enable signals. f for more information about how to control the pll, serdes, and dpa block settings, and detailed descriptions of the lvds transmitter and receiver interface signals, refer to the serdes transmitter/receiver (altlvds) megafunction user guide . f for more information about the altpll megafunction, refer to the phase locked-loops (altpll) megafunction user guide . document revision history ta b l e 8 ?9 lists the revision history for this chapter. table 8?9. document revision history (part 1 of 2) date version changes made july 2012 4.3 updated figure 8?23 . december 2011 4.2 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices 8?37 document revision history july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration july 2010 3.0 updated for arria ii gx v10.0 release: 8?38 chapter 8: high-speed differential i/o interfaces and dpa in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation february 2014 altera co rporation arria ii device handbook volume 1: device interfaces and integration section iii. system integration for arria ii devices this section provides information about arria ? ii device configuration, design security, remote system upgrades, seu mitigation, jtag, and power requirements. this section includes the following chapters: chapter 9, configuration, design security, and remote system upgrades in arria ii devices chapter 10, seu mitigation in arria ii devices chapter 11, jtag boundary-scan testing in arria ii devices chapter 12, power management in arria ii devices revision history refer to each chapter for its own specific revision history. for information on when each chapter was updated, refer to the chapter revision dates section, which appears in this volume. iii?2 section iii: system integration for arria ii devices revision history arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation arria ii device handbook volume 1: device interfaces and integration july 2012 aiigx51009-4.3 subscribe ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 9. configuration, design security, and remote system upgrades in arria ii devices this chapter describes the supported configuration schemes for arria ? ii devices, instructions for executing the required configuration schemes, and the necessary option pin settings. this chapter also reviews the different ways you can configure your device and explains the design security and remote system upgrade features for arria ii devices. arria ii devices use sram cells to store configuration data. because sram memory is volatile, you must download configuration data to the arria ii device each time the device powers up. all configuration schemes use either an external controller (for example, a max ? ii device or microprocessor), a configuration device, or a download cable. this chapter includes the following sections: july 2012 aiigx51009-4.3 9?2 chapter 9: configuration, design security, and remote system upgrades in arria ii devices configuration features arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation configuration devices altera ? serial configuration devices support single- and multi-device configuration solutions for arria ii devices. arria ii gx devices use the active serial (as) configuration scheme while arria ii gz devices use the fast as configuration scheme. serial configuration devices offer a low-cost, low pin-count configuration solution. f for more information about serial configuration devices, refer to the serial configuration devices (epcs1, epcs4, epcs16, epcs64, and epcs128) datasheet in volume 2 of the configuration handbook . 1 all minimum timing information stated in this chapter covers the entire arria ii device family. some devices may work at less than the minimum timing stated in this chapter due to process variations. configuration features arria ii devices offer decompression, design security, and remote system upgrade features. arria ii devices can receive a compressed configuration bitstream and decompress this data in real-time, reducing storage requirements and configuration time. design security using configuration bitstream encryption protects your designs. you can make real-time system upgrades from remote locations of your arria ii designs with the remote system upgrade feature. ta b l e 9 ?1 lists the configuration features you can use in each configuration scheme for arria ii gx devices. table 9?1. configuration features for arria ii gx devices configuration scheme configuration method decompression design security remote system upgrade fpp max ii device or a microprocessor with flash memory v (1) v (1) ? as serial configuration device vvv (2) ps max ii device or a microprocessor with flash memory vv ? download cable vv ? jtag max ii device or a microprocessor with flash memory ? ? ? download cable ? ? ? notes to table 9?1 : (1) in these modes, the host system must send a dclk that is x4 the data rate. (2) remote system upgrade is only available in the as configuration scheme. local update mode is not supported in the as configu ration scheme. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?3 configuration features july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 9 ?2 lists the configuration features you can use in each configuration scheme for arria ii gz devices. refer to the following for the configuration features supported in arria ii devices: v (1) v (1) ? fast as serial configuration device vvv (2) ps max ii device or a microprocessor with flash memory vv ? download cable vv ? jtag max ii device or a microprocessor with flash memory ? ? ? download cable ? ? ? notes to table 9?2 : (1) in these modes, the host system must send a dclk that is x4 the data rate. (2) remote system upgrade is only available in the fast as configuration scheme. local update mode is not supported in the fast as configuration scheme. 9?4 chapter 9: configuration, design security, and remote system upgrades in arria ii devices power-on reset circuit and configuration pins power supply arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation power-on reset circuit and configuration pins power supply the following sections describe the power-on reset (por) circuit and the power supply for the configuration pins. power-on reset circuit the por circuit keeps the entire system in reset mode until the power supply voltage levels have stabilized on power-up. after power-up, the device does not release nstatus until the voltage levels are above the por trip point of the device. table 9?3 lists the voltages required for power-up in arria ii devices. on power down for arria ii gx devices, brown-out occurs if v cc ramps down below the por trip point and any of the v cc , v ccpd , or v ccio voltages for i/o banks 3c or 8c drops below the threshold. on power down for arria ii gz devices, brown-out occurs if the v cc , v ccaux , v cccb , v ccpgm , or v ccpd voltages drops below the threshold voltage. in arria ii devices, you can select between a fast por time or a standard por time. for arria ii gx devices, selection depends on the msel pin settings. for arria ii gz devices, selection depends on the porsel input pin. porsel = l is set as standard por time. porsel = h is set as fast por time. fast por time is 4ms 9?6 chapter 9: configuration, design security, and remote system upgrades in arria ii devices power-on reset circuit and configuration pins power supply arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation v ccpd pins arria ii devices have a dedicated programming power supply, the v ccpd pins. ta b l e 9 ?5 lists the power supply for arria ii devices. for more information about configuration pins power supply, refer to ?device configuration pins? on page 9?39 . table 9?5. power supply for arria ii devices devices programming power supply arria ii gx v ccpd must be connected to 3.3, 3.0, or 2.5 v to power the i/o pre-drivers, hstl/sstl input buffers, and msel[3..0] pins. v ccpd and v ccio for i/o banks 3c and 8c must ramp up from 0 v to the desired voltage level within 100 ms when porsel is low or 4 ms when porsel is high. if these supplies are not ramped up in this specified time, your arria ii gx device will not configure successfully. if the system cannot ramp up the power supplies within 100 ms or 4 ms, you must hold nconfig low until all the power supplies are stable. you must connect v ccpd according to the i/o standard used in the same bank: tck , tms , tdi , tdo , and trst ). v ccpd and v ccpgm must ramp up from 0 v to the desired voltage level within 100 ms when porsel is low or 4 ms when porsel is high. if these supplies are not ramped up in this specified time, your arria ii gz device will not configure successfully. if the system cannot ramp up the power supplies within 100 ms or 4 ms, you must hold nconfig low until all the power supplies are stable. v ccpd must be greater than or equal to v ccio of the same bank: chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?7 configuration process july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration configuration process the following sections describe the general configuration process for fpp, standard as, fast as, and ps schemes. power up to begin the configuration process, you must fully power the relevant voltage supply to the appropriate voltage levels. 1 for an fpp configuration in arria ii gx devices, the data[7..1] pins are supplied by v ccio for i/o bank 6a. you must power up this bank when you use the fpp configuration. for arria ii gz devices, the data[7..1] pins are powered up by v ccpgm during configuration or by v ccio if they are used as regular i/os in user mode. reset after power up, the arria ii device goes through a por. the por delay depends on the msel pin settings. during por, the device resets, holds nstatus low, clears the configuration ram bits, and tri-states all user i/o pins. after the device successfully exits por, all user i/o pins continue to be tri-stated. while nconfig is low, the device is in reset. when the device comes out of reset, nconfig must be at a logic-high level in order for the device to release the open-drain nstatus pin. after nstatus is released, it is pulled high by a pull-up resistor and the device is ready to receive configuration data. before and during configuration, all user i/o pins are tri-stated. if nio_pullup is driven low during power up and configuration, the user i/o pins and dual-purpose i/o pins have weak pull-up resistors, which are on (after por) before and during configuration. if nio_pullup is driven high, the weak pull-up resistors are disabled. configuration nconfig and nstatus must be at a logic-high level in order for the configuration stage to begin. the device receives configuration data on its data pins and (for synchronous configuration schemes) the clock source on the dclk pin. configuration data is latched into the fpga on the rising edge of dclk . after the fpga has received all the configuration data successfully, it releases the conf_done pin, which is pulled high by a pull-up resistor. a low-to-high transition on conf_done indicates configuration is complete and initialization of the device can begin. to e n s u re dclk and data0 are not left floating at the end of configuration, they must be driven either high or low, whichever is convenient on your board. use the dedicated data[0] pin for both ps and as configuration modes. it is not available as a user i/o pin after configuration. for fpp and ps configuration schemes, the configuration clock ( dclk ) speed must be below the specified frequency to ensure correct configuration. no maximum dclk period exists, which means you can pause the configuration by halting dclk for an indefinite amount of time. 9?8 chapter 9: configuration, design security, and remote system upgrades in arria ii devices configuration process arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation a reconfiguration is initiated by toggling the nconfig pin from high to low and then back to high with a minimum t cfg low-pulse width either in the configuration, configuration error, initialization, or user mode stage. when nconfig is pulled low, nstatus and conf_done are also pulled low and all the i/o pins are tri-stated. after nconfig and nstatus return to a logic-high level, configuration begins. a pull-up or pull-down resistor helps keep the nconfig line in a known state when the external host (a max? ii cpld or a microcontroller) is not driving the line. for example, during external host reprogramming or power-up where the i/o driving nconfig may be tri-stated). if a pull-up resistor is added to the nconfig line, the fpga stays in user mode if the external host is being reprogrammed. if a pull-down resistor is added to the nconfig line, the fpga goes into reset mode if the external host is being reprogrammed. whenever the nconfig line is released high, ensure the first dclk and data are not driven unintentionally. 1 altera recommends to keep the nconfig line low if the external host or the fpga is not ready for configuration. configuration error if an error occurs during configuration, arria ii devices assert the nstatus signal low, indicating a data frame error; the conf_done signal stays low. if you turn on the auto-restart configuration after error option (available in the quartus ii software from the general tab of the device and pin options dialog box), the arria ii device resets the configuration device and retries the configuration. if you turn off this option, the system must monitor nstatus for errors and then pulse nconfig low to restart the configuration. initialization in arria ii devices, the initialization clock source is either the internal oscillator or the optional clkusr pin. by default, the internal oscillator is the clock source for initialization. if you use the internal oscillator, the arria ii device provides itself with enough clock cycles for proper initialization. therefore, if the internal oscillator is the initialization clock source, sending the entire configuration file to the device is sufficient to configure and initialize the device. driving dclk to the device after configuration is complete does not affect device operation. you also have the flexibility to synchronize initialization of multiple devices or to delay initialization with the clkusr option. you can turn on the enable user-supplied start-up clock (clkusr) option in the quartus ii software from the general tab of the device and pin options dialog box. if you supply a clock on clkusr , it does not affect the configuration process. after all the configuration data is accepted and conf_done goes high, clkusr is enabled after the time specified as t cd2cu . after this time period elapses, arria ii devices require a minimum number of clock cycles to initialize properly and enter user mode as specified in the t cd2umc parameter. 1 two dclk falling edges are required after conf_done goes high to begin the initialization of the device for both uncompressed and compressed bitstream in the fpp or ps configuration mode. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?9 configuration schemes july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration user mode an optional init_done pin is available, which signals the end of initialization and the start of user-mode with a low-to-high transition. the enable init_done output option is available in the quartus ii software from the general tab of the device and pin options dialog box. if you use the init_done pin, it is high due to an external 10-k ? nconfig is low and during the beginning of configuration. after the option bit to enable init_done is programmed into the device (during the first frame of configuration data), the init_done pin goes low. when initialization is complete, the init_done pin is released and pulled high. when initialization is complete, the device enters user mode. in user-mode, the user i/o pins no longer have weak pull-up resistors and function as assigned in your design. configuration schemes the following sections describe configuration schemes for arria ii devices. msel pin settings select the configuration scheme by driving the arria ii device msel pins either high or low, as listed in table 9?6 and table 9?7 . the msel input buffers are powered by the v ccpd and v ccpgm power supplies for arria ii gx and gz devices, respectively. altera recommends hardwiring the msel[] pins to v ccpd /v ccpgm or gnd. the msel[3..0] pins have 5-k ? msel pins must be at lvttl v il and v ih levels to be considered logic low and logic high, respectively. 1 to avoid problems with detecting an incorrect configuration scheme, hardwire the msel[] pins to v ccpd /v ccpgm or gnd without pull-up or pull-down resistors. do not drive the msel[] pins by a microprocessor or another device. 1 for figure 9?1 on page 9?12 through figure 9?30 on page 9?66 , msel[n..0] represents msel[3..0] for arria ii gx devices and msel[2..0] for arria ii gz devices as listed in ta b l e 9 ?6 and ta b l e 9? 7 , respectively. table 9?6. configuration schemes for arria ii gx devices (part 1 of 2) configuration scheme msel3 msel2 msel1 msel0 por delay configuration voltage standard (v) (1) fpp 0 0 0 0 fast 3.3, 3.0, 2.5 0111fast 1.8 fpp with design security feature, decompression, or both enabled (2) 0 0 0 1 fast 3.3, 3.0, 2.5 1000fast 1.8 ps 0 0 1 0 fast 3.3, 3.0, 2.5 1001fast 1.8 1 0 1 0 standard 3.3, 3.0, 2.5 1011standard1.8 9?10 chapter 9: configuration, design security, and remote system upgrades in arria ii devices configuration schemes arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 9 ?7 lists the configuration schemes for arria ii gz devices. as with or without remote system upgrade 0011fast 3.3 1 1 0 1 fast 3.0, 2.5 1110standard3.3 1 1 1 1 standard 3.0, 2.5 jtag-based configuration (3) (4) (4) (4) (4) ?? notes to table 9?6 : (1) configuration voltage standard applied to the v ccio power supply in which the configuration pins reside. (2) these modes are only supported when using a max ii device or a microprocessor with flash memory for configuration. in these modes, the host system must output a dclk that is x4 the data rate. (3) jtag-based configuration takes precedence over other configuration schemes, which means the msel pin settings are ignored. jtag-based configuration does not support the design security or decompression features. (4) do not leave the msel pins floating. connect them to v ccpd or gnd. these pins support the non-jtag configuration scheme used in production. if you only use the jtag configuration, altera recommends connecting the msel pins to gnd. table 9?6. configuration schemes for arria ii gx devices (part 2 of 2) configuration scheme msel3 msel2 msel1 msel0 por delay configuration voltage standard (v) (1) table 9?7. configuration schemes for arria ii gz devices configuration scheme msel2 msel1 msel0 por delay configuration voltage standard (v) fpp 0 0 0 fast/standard 3.0, 2.5, 1.8 ps 0 1 0 fast/standard 3.0, 2.5, 1.8 fast as (40 mhz) (1) 0 1 1 fast/standard 3.0, 2.5, 1.8 remote system upgrade fast as (40 mhz) (1) 0 1 1 fast/standard 3.0, 2.5, 1.8 fpp with design security feature and/or decompression enabled (2) 0 0 1 fast/standard 3.0, 2.5, 1.8 jtag-based configuration (3) (4) (4) (4) ?? notes to table 9?7 : (1) arria ii gz devices only support fast as configuration. you must use either epcs64 or epcs128 devices to configure an arria ii g z device in fast as mode. (2) these modes are only supported when using a max ii device or mi croprocessor with flash memory for configuration. in these mod es, the host system must output a dclk that is x4 the data rate. (3) the jtag-based configuration takes precedence over other configuration schemes, which means the msel pin settings are ignored. the jtag-based configuration does not support the design security or decompression features. (4) do not leave the msel pins floating, connect them to v ccpgm or gnd. these pins support non-jtag configuration scheme used in production. if you only use the jtag configuration, altera recommends connecting the msel pins to gnd. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?11 fast passive parallel configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration raw binary file size ta b l e 9 ?8 lists the uncompressed raw binary file ( .rbf ) configuration file sizes for arria ii devices. use the data in table 9?8 to estimate the file size before design compilation. different configuration file formats, such as a hexidecimal ( .hex ) or tabular text file ( .ttf ) format, have different file sizes. for the different types of configuration file and file sizes, refer to the quartus ii software. however, for a specific version of the quartus ii software, any design targeted for the same device has the same uncompressed configuration file size. if you are using compression, the file size can vary after each compilation because the compression ratio depends on your design. f for more information about setting device configuration options or creating configuration files, refer to the device configuration options and configuration file formats chapters in volume 2 of the configuration handbook . fast passive parallel configuration fpp configuration in arria ii devices is designed to meet the continuously increasing demand for faster configuration times. arria ii devices are designed with the capability of receiving byte-wide configuration data per clock cycle. you can perform fpp configuration of arria ii devices using an intelligent host such as a max ii device or microprocessor. fpp configuration using a max ii device as an external host fpp configuration using an external host provides the fastest method to configure arria ii devices. in this configuration scheme, you can use a max ii device or microprocessor as an intelligent host that controls the transfer of configuration data from a storage device, such as flash memory, to the target arria ii device. you can store configuration data in .rbf , .hex , or .ttf format. when using the max ii device or microprocessor as an intelligent host, a design that controls the configuration process, such as fetching the data from flash memory and sending it to the device, must be stored in the max ii device or microprocessor. table 9?8. uncompressed .rbf sizes for arria ii devices device data size (bits) ep2agx45 29,599,704 ep2agx65 29,599,704 ep2agx95 50,376,968 EP2AGX125 50,376,968 ep2agx190 86,866,440 ep2agx260 86,866,440 ep2agz225 94,557,472 ep2agz300 128,395,584 ep2agz350 128,395,584 9?12 chapter 9: configuration, design security, and remote system upgrades in arria ii devices fast passive parallel configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation 1 if you use the arria ii decompression and/or design security features, the external host must send a dclk frequency that is x4 the data rate. the x4 dclk signal does not require an additional pin and is sent on the dclk pin. the maximum dclk frequency is 125 mhz, which results in a maximum data rate of 250 mbps. for arria ii gx devices, if you are not using the decompression or design security features, the data rate is x1 the dclk frequency. for arria ii gz devices, if you are not using the decompression or design security features, the data rate is x8 the dclk frequency. figure 9?1 shows the configuration interface connections between an arria ii device and a max ii device for single device configuration. 1 arria ii devices receive configuration data on the data[7..0] pins and the clock is received on the dclk pin. data is latched into the device on the rising edge of dclk . if you are using the arria ii decompression, design security, or both features, configuration data is latched on the rising edge of every first dclk cycle out of the four dclk cycles. altera recommends keeping the data on data[7..0] stable for the next three clock cycles while the data is being processed. you can only stop dclk three clock cycles after the last data is latched. in arria ii devices, the initialization clock source is either the internal oscillator or the optional clkusr pin. by default, the internal oscillator is the clock source for initialization. if you use the internal oscillator, the arria ii device provides itself with enough clock cycles for proper initialization. therefore, if the internal oscillator is the initialization clock source, sending the entire configuration file to the device is sufficient to configure and initialize the device. driving dclk to the device after configuration is complete does not affect device operation. figure 9?1. single device fpp configuration using an external host notes to figure 9?1 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the arria ii device. for arria ii gx devi ces, use the v ccio pin. for arria ii gz devices, use the v ccpgm pin. v ccio /v ccpgm must be high enough to meet the v ih specification of the i/o on both the device and the external host. altera recommends powering up the configuration system i/os with v ccio /v ccpgm . (2) a pull-up resistor to v ccio /v ccpgm or a pull-down resistor keeps the nconfig line in a known state when the external host is not driving the line. (3) you can leave the nceo pin unconnected or used as a user i/o pin when it does not feed the nce pin of the other device. (4) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . external host (max ii de v ice or microprocessor) co n f_do n e nstatus nce data[7..0] nco n fig arria ii de v ice memory addr data[7..0] g n d msel[n..0] (1) dclk nceo n .c. (3) 10 k 10 k (4) (1) 10 k (2) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?13 fast passive parallel configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration you also have the flexibility to synchronize initialization of multiple devices or to delay initialization with the clkusr option. you can turn on the enable user-supplied start-up clock (clkusr) option in the quartus ii software from the general tab of the device and pin options dialog box. if you supply a clock on clkusr , it does not affect the configuration process. arria ii devices support an f max of 125 mhz. figure 9?2 shows how to configure multiple arria ii devices using a max ii device. this circuit is similar to the fpp configuration circuit for a single device, except the arria ii devices are cascaded for multi-device configuration. after the first device completes configuration in a multi-device configuration chain, its nceo pin drives low to activate the nce pin of the second device, which prompts the second device to begin configuration. the second device in the chain begins configuration in one clock cycle; therefore, the transfer of data destinations is transparent to the max ii device or microprocessor. all other configuration pins ( nconfig , nstatus , dclk , data[7..0] , and conf_done ) are connected to every device in the chain. the configuration signals may require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered for every fourth device. because all device conf_done pins are tied together, all devices initialize and enter user mode at the same time. all nstatus and conf_done pins are tied together and if any device detects an error, configuration stops for the entire chain and you must reconfigure the entire chain. for example, if the first device flags an error on nstatus , it resets the chain by pulling its nstatus pin low. this behavior is similar to a single device detecting an error. figure 9?2. multi-device fpp configuration using an external host notes to figure 9?2 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the arria ii device. for arria ii gx devi ces, use the v ccio pin. for arria ii gz devices, use the v ccpgm pin. v ccio /v ccpgm must be high enough to meet the v ih specification of the i/o on both the device and the external host. altera recommends powering up the configuration system i/os with v ccio /v ccpgm . (2) a pull-up resistor to v ccio /v ccpgm or a pull-down resistor keeps the nconfig line in a known state when the external host is not driving the line. (3) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . co n f_do n e nstatus nce data[7..0] nco n fig arria ii de v ice 1 arria ii de v ice 2 memory addr data[7..0] g n d (1) dclk nceo co n f_do n e nstatus nce data[7..0] nco n fig dclk nceo n .c. 10 k 10 k external host (max ii de v ice or microprocessor) msel[n..0] msel[n..0] (3) (3) 10 k (1) 10 k (2) (1) 9?14 chapter 9: configuration, design security, and remote system upgrades in arria ii devices fast passive parallel configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation if a system has multiple devices that contain the same configuration data, tie all device nce inputs to gnd and leave the nceo pins floating. all other configuration pins ( nconfig , nstatus , dclk , data[7..0] , and conf_done ) are connected to every device in the chain. configuration signals may require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered for every fourth device. devices must be the same density and package. all devices start and complete configuration at the same time. figure 9?3 shows a multi-device fpp configuration when both arria ii devices are receiving the same configuration data. you can use a single configuration chain to configure arria ii devices with other altera devices that support fpp configuration. to ensure that all devices in the chain complete configuration at the same time, or that an error flagged by one device initiates reconfiguration in all devices, tie all of the device conf_done and nstatus pins together. f for more information about configuring multiple altera devices in the same configuration chain, refer to the configuring mixed altera fpga chains chapter in volume 2 of the configuration handbook. figure 9?3. multiple-device fpp configuration using an external host when both devices receive the same data notes to figure 9?3 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the arria ii device. for arria ii gx devi ces, use the v ccio pin. for arria ii gz devices, use the v ccpgm pin. v ccio /v ccpgm must be high enough to meet the v ih specification of the i/o on both the device and the external host. altera recommends powering up the configuration system i/os with v ccio /v ccpgm . (2) a pull-up resistor to v ccio /v ccpgm or a pull-down resistor keeps the nconfig line in a known state when the external host is not driving the line. (3) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . co n f_do n e nstatus nce data[7..0] nco n fig arria ii de v ice 1 arria ii de v ice 2 memory addr data[7..0] dclk nceo n .c. co n f_do n e nstatus nce data[7..0] nco n fig g n d dclk nceo n .c. external host (max ii de v ice or microprocessor) g n d msel[n..0] msel[n..0] (3) (3) (1) 10 k 10 k (1) 10 k (2) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?15 fast passive parallel configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration fpp configuration timing figure 9?4 shows the timing waveform for an fpp configuration when using a max ii device as an external host. this waveform shows timing when the decompression and design security features are not enabled. figure 9?4. fpp configuration timing waveform with decompression and design security not enabled (note 1) , (2) notes to figure 9?4 : (1) use this timing waveform when you do not use the decompression and design security features. (2) the beginning of this waveform shows the device in user mode. in user mode, nconfig , nstatus , and conf_done are at logic-high levels. when nconfig is pulled low, a reconfiguration cycle begins. (3) after power-up, the arria ii device holds nstatus low for the time of the por delay. (4) after power-up, before and during configuration, conf_done is low. (5) two dclk falling edges are required after conf_done goes high to begin the initialization of the device. (6) do not leave dclk floating after configuration. you can drive it high or low, whichever is more convenient. (7) data[7..1] are available as user i/o pins after configuration. the state of these pins depends on the dual-purpose pin settings. for arri aiigx devices, data[0] is a dedicated pin that is used for both the ps and as configuration modes and is not available as a user i/o pin after configuration. for arria ii gz devices, data[0] is available as a user i/o pin after configuration. nco n fig nstatus (3) co n f_do n e (4) dclk data[7..0] user i/o i n it_do n e byte 0 byte 1 byte 2 byte 3 t cd2um t cf2st1 t cf2cd t cfg t ch t cl t dh t dsu t cf2ck t status t clk t cf2st0 t st2ck high-z user mode (7) (6) user mode byte n-2 byte n-1 byte n (5) 9?16 chapter 9: configuration, design security, and remote system upgrades in arria ii devices fast passive parallel configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 9 ?9 lists the timing parameters for arria ii devices for an fpp configuration when you do not enable the decompression and design security features. table 9?9. fpp timing parameters for arria ii devices with decompression and design security not enabled (note 1) symbol parameter minimum maximum units t cf2cd nconfig low to conf_done low ? 800 ns t cf2st0 nconfig low to nstatus low ? 800 ns t cfg nconfig low pulse width 2 ? p s t status nstatus low pulse width 10 500 (3) p s t cf2st1 (2) nconfig high to nstatus high ? 500 (3) p s t cf2ck nconfig high to first rising edge on dclk 500 ? p s t st2ck nstatus high to first rising edge of dclk 2? p s t dsu data setup time before rising edge on dclk 4?n s t dh data hold time after rising edge on dclk 0 (4) ?ns t ch dclk high time 3.2 (4) ?ns t cl dclk low time 3.2 (4) ?ns t clk dclk period 8 ? ns f max dclk frequency ? 125 mhz t r input rise time ? 40 ns t input fall time ? 40 ns t cd2um conf_done high to user mode (5) 55 150 p s t cd2cu conf_done high to clkusr enabled 4 maximum dclk period ?? t cd2umc conf_done high to user mode with clkusr option on t cd2cu + (8532 clkusr period) ?? notes to table 9?9 : (1) use these timing parameters when you do not enable the decompression and design security features. (2) this value is applicable if you do not delay configuration by externally holding the nstatus low. (3) you can obtain this value if you do not delay configuration by extending the nconfig or nstatus low pulse width. (4) the values listed for t dh , t ch , and t cl are applicable only for arria ii gx devices. for arria ii gz devices, t dh =1ns, t ch = 3.6 ns, and t cl =3.6ns, respectively. (5) the minimum and maximum numbers apply only if you chose the internal oscillator as the clock source for initializing the dev ice. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?17 fast passive parallel configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 9?5 shows the timing waveform for an fpp configuration when using a max ii device or microprocessor as an external host. this waveform shows timing when you enable the decompression, the design security features, or both. figure 9?5. fpp configuration timing waveform with decompression or design security enabled (note 1) , (2) notes to figure 9?5 : (1) use this timing waveform when you use the decompression and/or design security features. (2) the beginning of this waveform shows the device in user-mode. in user-mode, nconfig , nstatus , and conf_done are at logic high levels. when nconfig is pulled low, a reconfiguration cycle begins. (3) after power-up, the arria ii gx device holds nstatus low for the time of the por delay. (4) after power-up, before and during configuration, conf_done is low. (5) two dclk falling edges are required after conf_done goes high to begin the initialization of the device. (6) if required, you can pause dclk by holding it low. when dclk restarts, the external host must provide data on the data[7..0] pins prior to sending the first dclk rising edge. (7) do not leave dclk floating after configuration. you can drive it high or low, whichever is more convenient. (8) data[7..1] are available as user i/o pins after configuration. the state of these pins depends on the dual-purpose pin settings. for arri aiigx devices, data[0] is a dedicated pin that is used for both the ps and as configuration modes and is not available as a user i/o pin after configuration. for arria ii gz devices, data[0] is available as a user i/o pin after configuration. nco n fig nstatus (3) co n f_do n e (4) dclk data[7..0] user i/o i n it_do n e t cd2um t cf2st1 t cf2cd t cfg t cf2ck t t cf2st0 t st2ck high-z user mode 12341234 1 byte 0 byte 1 byte 2 4 t dsu t dh status t dh t ch t cl t clk byte ( n -1) (6) (7) (8) user mode 3 byte n (5) 9?18 chapter 9: configuration, design security, and remote system upgrades in arria ii devices fast passive parallel configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 9 ?1 0 lists the timing parameters for arria ii devices for an fpp configuration when you enable the decompression, the design security features, or both. f for more information about setting device configuration options or creating configuration files, refer to the device configuration options and configuration file formats chapters in volume 2 of the configuration handbook . table 9?10. fpp timing parameters for arria ii gx devices with the decompression or design security features enabled (note 1) symbol parameter minimum maximum units t cf2cd nconfig low to conf_done low ? 800 ns t cf2st0 nconfig low to nstatus low ? 800 ns t cfg nconfig low pulse width 2 ? ? nstatus low pulse width 10 500 (3) ? (2) nconfig high to nstatus high ? 500 (3) ? nconfig high to first rising edge on dclk 500 ? ? nstatus high to first rising edge of dclk 2? ? dclk 4?ns t dh data hold time after rising edge on dclk 24 (4) ?ns t ch dclk high time 3.2 (4) ?ns t cl dclk low time 3.2 (4) ?ns t clk dclk period 8 ? ns f max dclk frequency ? 125 mhz t data data rate ? 250 mbps t r input rise time ? 40 ns t input fall time ? 40 ns t cd2um conf_done high to user mode (5) 55 150 ? conf_done high to clkusr enabled 4 maximum dclk period ?? t cd2umc conf_done high to user mode with clkusr option on t cd2cu + (8532 clkusr period) ?? notes to table 9?10 : (1) use these timing parameters when you enable the decompression and design security features. (2) this value is applicable if you do not delay configuration by externally holding the nstatus low. (3) you can obtain this value if you do not delay configuration by extending the nconfig or nstatus low pulse width. (4) the values listed for t dh , t ch , and t cl are applicable only for arria ii gx devices. for arria ii gz devices, t dh =3/( dclk frequency) + 1, t ch = 3.6 ns, and t cl = 3.6 ns, respectively. (5) the minimum and maximum numbers apply only if you choose the internal oscillator as the clock source for initializing the de vice. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?19 as and fast as configuration (serial configuration devices) july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration as and fast as configuration (serial configuration devices) arria ii gx and gz devices are configured using a serial configuration device in the as configuration scheme and the fast as configuration scheme, respectively. these configuration devices are low-cost devices with non-volatile memory that feature a simple four-pin interface and a small form factor. these features make serial configuration devices an ideal low-cost configuration solution. f for more information about serial configuration devices, refer to the serial configuration devices (epcs1, epcs4, epcs16, epcs64, and epcs128) data sheet chapter in volume 2 of the configuration handbook . serial configuration devices provide a serial interface to access configuration data. during device configuration, arria ii devices read configuration data using the serial interface, decompress data if necessary, and configure their sram cells. this scheme is referred to as the as configuration scheme because the arria ii device controls the configuration interface. this scheme contrasts with the ps configuration scheme, where the configuration device controls the interface. 1 the arria ii decompression and design security features are available when configuring your arria ii gx device using as mode and when configuring your arria ii gz device using fast as mode. serial configuration devices have a four-pin interface?serial clock input ( dclk ), serial data output ( data ), as data input ( asdi ), and an active-low chip select ( ncs ). this four-pin interface connects to the arria ii device pins, as shown in figure 9?6 . figure 9?6. single device as configuration notes to figure 9?6 : (1) connect the pull-up resistors to the v ccio power supply of bank 3c for arria ii gx devices and to v ccpgm at a 3.0-v power supply for arria ii gz devices. (2) arria ii devices use the asdo-to-asdi path to control the configuration device. (3) arria ii devices have an option to select clkusr (40 mhz maximum) as the external clock source for dclk . (4) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . data dclk ncs asdi data0 dclk ncso asdo serial config u ration de v ice arria ii de v ice 10 k 10 k 10 k v ccio / v ccpgm (1) g n d nceo nce nstatus nco n fig co n f_do n e (2) msel [n..0] n .c. (4) clkusr (3) v ccio / v ccpgm (1) v ccio / v ccpgm (1) 9?20 chapter 9: configuration, design security, and remote system upgrades in arria ii devices as and fast as configuration (serial configuration devices) arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation the serial clock ( dclk ) generated by the arria ii device controls the entire configuration cycle and provides timing for the serial interface. during the configuration, arria ii devices use an internal oscillator or an external clock source to generate dclk . at the initial stage of the configuration cycle, the arria ii device generates a default dclk (40 mhz maximum) from the internal oscillator to read the header information of the programming data stored in the epcs. after the header information is read from the epcs, depending on the clock source being selected, the configuration cycle continues with a slow clock (20 mhz maximum) or a fast clock (40 mhz maximum) from the internal oscillator or an external clock from clkusr (40 mhz maximum). you can change the clock source option in the quartus ii software from the configuration tab of the device and pin options dialog box. 1 arria ii gz devices only support fast as configuration (40 mhz maximum) and do not support a slow clock. in as and fast as configuration schemes, arria ii devices drive out control signals on the falling edge of dclk . the serial configuration device responds to the instructions by driving out configuration data on the falling edge of dclk . then the data is latched into the arria ii device on the following falling edge of dclk . in configuration mode, arria ii devices enable the serial configuration device by driving the ncso output pin low, which connects to the chip select ( ncs ) pin of the configuration device. the arria ii device uses the serial clock ( dclk ) and serial data output ( asdo ) pins to send operation commands, read address signals, or both, to the serial configuration device. the configuration device provides data on its serial data output ( data ) pin, which connects to the data0 input of the arria ii devices. you can configure multiple arria ii devices using a single serial configuration device. cascade multiple arria ii devices using the chip-enable ( nce ) and chip-enable-out ( nceo ) pins. the first device in the chain must have its nce pin connected to gnd. you must connect its nceo pin to the nce pin of the next device in the chain. when the first device captures all its configuration data from the bitstream, it drives the nceo pin low, enabling the next device in the chain. you must leave the nceo pin of the last device unconnected. the nconfig , nstatus , conf_done , dclk , and data0 pins of each device in the chain are connected (refer to figure 9?7 ). the first arria ii device in the chain is the configuration master and controls configuration of the entire chain. you must connect its msel pins to select the as configuration scheme. the remaining arria ii devices are configuration slaves. you must connect their msel pins to select the ps configuration scheme. any other altera device that supports ps configuration can also be part of the chain as a configuration slave. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?21 as and fast as configuration (serial configuration devices) july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 9?7 shows the pin connections for the multi-device as configuration. the timing parameters for as mode are not listed here because the t cf2cd , t cf2st0 , t cfg , t status , t cf2st1 , and t cd2um timing parameters are identical to the timing parameters for ps mode listed in table 9?12 on page 9?29 . as shown in figure 9?7 , the nstatus and conf_done pins on all target devices are connected together with external pull-up resistors. these pins are open-drain bidirectional pins on the devices. when the first device asserts nceo (after receiving all its configuration data), it releases its conf_done pin. but the subsequent devices in the chain keep this shared conf_done line low until they have received their configuration data. when all target devices in the chain have received their configuration data and have released conf_done , the pull-up resistor drives a high level on this line and all devices simultaneously enter initialization mode. 1 while you can cascade arria ii devices, you cannot cascade or chain together serial configuration devices. figure 9?7. multi-device as configuration notes to figure 9?7 : (1) connect the pull-up resistors to the v ccio power supply of the i/o bank 3c for arria ii gx devices and to v ccpgm at a 3.0-v power supply for arria ii gz devices. (2) arria ii devices have an option to select clkusr (40 mhz maximum) as the external clock source for dclk . (3) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . (4) connect the repeater buffers between the arria ii master and slave devices for data[0] and dclk . this is to prevent any potential signal integrity and clock skew problems. data dclk ncs asdi data[0] dclk ncso asdo serial config u ration de v ice arria ii de v ice master arria ii de v ice sla v e 10 k 10 k g n d nceo nce nstatus co n f_do n e data[0] dclk nceo nce nstatus co n f_do n e 10 k nco n fig nco n fig n .c. msel [n..0] v ccio / v ccpgm (1) b u ffers (4) (3) (3) msel [n..0] 10 k clkusr (2) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) 9?22 chapter 9: configuration, design security, and remote system upgrades in arria ii devices as and fast as configuration (serial configuration devices) arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation if the configuration bitstream size exceeds the capacity of a serial configuration device, you must select a larger configuration device, enable the compression feature, or both. when configuring multiple devices, the size of the bitstream is the sum of the configuration bitstreams of the individual devices. a system may have multiple devices that contain the same configuration data. in as chains, you can implement this by storing one copy of the sram object file ( .sof ) in the serial configuration device. the same copy of the .sof configures the master arria ii device and all remaining slave devices concurrently. all arria ii devices must be the same density and package. to configure four identical arria ii devices with the same .sof , you can set up the chain similar to the example shown in figure 9?8 . the first device is the master device and its msel pins must be set to select as configuration. the other three slave devices are set up for concurrent configuration and their msel pins must be set to select ps configuration. the nce input pins from the master and slave are connected to gnd, and the data and dclk pins connect in parallel to all four devices. during the configuration cycle, the master device reads its configuration data from the serial configuration device and transmits the configuration data to all three slave devices, configuring all of them simultaneously. figure 9?8 shows the multi-device as configuration when the devices receive the same data using a single .sof . figure 9?8. multi-device as configuration when the devices receive the same data using a single .sof notes to figure 9?8 : (1) connect the pull-up resistors to the v ccio power supply of i/o bank 3c for arria ii gx devices and to v ccpgm at a 3.0-v power supply for arria ii gz devices. (2) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . (3) arria ii devices have an option to select clkusr (40 mhz maximum) as the external clock source for dclk . (4) connect the repeater buffers between the arria ii master and slave devices for data[0] and dclk . this is to prevent any potential signal integrity and clock skew problems. data dclk ncs asdi data0 dclk ncso asdo serial config u ration de v ice arria ii de v ice master 10 k 10 k g n d nceo nce nstatus co n f_do n e data[0] dclk nceo nce nstatus co n f_do n e 10 k nco n fig nco n fig n .c. msel[n..0] msel[n..0] data[0] dclk arria ii de v ice sla v e arria ii de v ice sla v e arria ii de v ice sla v e nceo nce nstatus co n f_do n e nco n fig n .c. msel[n..0] data[0] dclk nceo nce nstatus co n f_do n e nco n fig n .c. msel[n..0] v ccio / v ccpgm (1) b u ffers (4) g n d n .c. (2) (2) (2) (2) clkusr (3) v ccio / v ccpgm (1) v ccio / v ccpgm (1) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?23 as and fast as configuration (serial configuration devices) july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration guidelines for connecting serial configuration device to arria ii devices on an as interface for single- and multi-device as configurations, the board trace length and loading between the supported serial configuration device and the arria ii devices must follow the recommendations listed in table 9?11 . estimating the as configuration time as configuration time is dominated by the time it takes to transfer data from the serial configuration device to the arria ii device. this serial interface is clocked by the arria ii dclk output (generated from an internal oscillator or an option to select clkusr as external clock source). arria ii devices support dclk up to 40 mhz (25 ns). therefore, you can estimate the minimum configuration time as the following: rbf size (minimum dclk period / 1 bit per dclk cycle) = estimated minimum configuration time. enabling compression reduces the amount of configuration data that is transmitted to the arria ii device, which also reduces configuration time. on average, compression reduces configuration time, depending on your design. table 9?11. maximum trace length and loading for the as configuration in arria ii devices arria ii device as pins maximum board trace length from the arria ii device to the serial configuration device (inches) maximum board load (pf) dclk 10 15 data[0] 10 30 ncso 10 30 asdo 10 30 9?24 chapter 9: configuration, design security, and remote system upgrades in arria ii devices as and fast as configuration (serial configuration devices) arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation programming serial configuration devices serial configuration devices are non-volatile, flash-memory-based devices. you can program these devices in-system using an usb-blaster?, ethernetblaster, ethernetblaster ii, or byteblaster? ii download cables. alternatively, you can program them using a microprocessor with the srunner software driver. 1 to gain control of the serial configuration device pins, hold the nconfig pin low and pull the nce pin high. this causes the device to reset and tri-state the as configuration pins. you can perform in-system programming of serial configuration devices using the conventional as programming interface or jtag interface solution. because serial configuration devices do not support the jtag interface, the conventional method to program them is using the as programming interface. the configuration data used to program serial configuration devices is downloaded using programming hardware. during in-system programming, the download cable disables device access to the as interface by driving the nce pin high. arria ii devices are also held in reset mode by a low level on nconfig . after programming is complete, the download cable releases nce and nconfig , allowing the pull-down and pull-up resistors to drive gnd and logic high. altera has developed the serial flash loader (sfl); an in-system programming solution for serial configuration devices using the jtag interface. this solution requires the arria ii device to be a bridge between the jtag interface and the serial configuration device. f for more information about sfl, refer to an 370: using the serial flashloader with quartus ii software . f for more information, refer to the following: chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?25 as and fast as configuration (serial configuration devices) july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 9?9 shows the download cable connections to the serial configuration device. you can program serial configuration devices with the quartus ii software using the altera programming hardware and the appropriate configuration device programming adapter. in production environments, you can program serial configuration devices using multiple methods. you can use altera programming hardware or other third-party programming hardware to program blank serial configuration devices before they are mounted onto pcbs. alternatively, you can use an on-board microprocessor to program the serial configuration device in-system using c-based srunner software drivers provided by altera. you can program a serial configuration device in-system by an external microprocessor using srunner. srunner is a software driver developed for embedded serial configuration device programming, which can be easily customized to fit in different embedded systems. srunner is able to read a raw programming data file (. rpd ) and write to serial configuration devices. the serial configuration device programming time using srunner is comparable to the programming time with the quartus ii software. figure 9?9. in-system programming of serial configuration devices notes to figure 9?9 : (1) connect the pull-up resistors to the v ccio power supply of the i/o bank 3c for arria ii gx devices and to v ccpgm at a 3.0-v power supply for arria ii gz devices. (2) arria ii devices have an option to select clkusr (40 mhz maximum) as the external clock source for dclk . (3) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . (4) power up the usb-byteblaster, byteblaster ii, ethernetblaster, or ethernetblaster ii cable?s v cc(trgt) with v ccio 3.3 v for arria ii gx device and v ccpgm 3.0 v for arria ii gz device. data dclk ncs asdi data0 dclk ncso nce nco n fig nstatus nceo co n f_do n e asdo 3.3 v 10 k 10 k 10 k 10 k arria ii de v ice serial config u ration de v ice pi n 1 u s b-bla s te r o r bytebla s e r ii (a s mode) 10-pi n male heade r n .c. (4) msel[n..0] v ccio / v ccpgm (1) (3) clkusr (2) v ccio / v ccpgm (1) v ccio / v ccpgm (1) 9?26 chapter 9: configuration, design security, and remote system upgrades in arria ii devices ps configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation f for more information about srunner, refer to an 418: srunner: an embedded solution for epcs programming and the source code on the altera website . f for more information about programming serial configuration devices, refer to the serial configuration devices (epcs1, epcs4, epcs16, epcs64, and epcs128) data sheet chapter in volume 2 of the configuration handbook . ps configuration you can program a ps configuration of arria ii devices using an intelligent host, such as a max ii device or microprocessor with flash memory, or a download cable. in the ps scheme, an external host (a max ii device, embedded processor, or host pc) controls configuration. configuration data is clocked into the target arria ii device using the data0 pin at each rising edge of dclk . 1 the arria ii decompression and design security features are available when configuring your arria ii device using ps mode. ps configuration using a max ii device as an external host in this configuration scheme, you can use a max ii device as an intelligent host that controls the transfer of configuration data from a storage device, such as flash memory, to the target arria ii device. you can store configuration data in .rbf , .hex , or .ttf format. figure 9?10 shows the configuration interface connections between an arria ii device and a max ii device for single device configuration. figure 9?10. single device ps configuration using an external host notes to figure 9?10 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the arria ii device. for arria ii gx devi ces, use the v ccio pin. for arria ii gz devices, use the v ccpgm pin. v ccio /v ccpgm must be high enough to meet the v ih specification of the i/o on both the device and the external host. altera recommends powering the configuration system i/os with v ccio /v ccpgm . (2) a pull-up resistor to v ccio /v ccpgm or a pull-down resistor keeps the nconfig line in a known state when the external host is not driving the line. (3) the msel pin settings vary for different configuration voltage standards and por delays. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . (4) the nceo pin can be left unconnected or used as a user i/o pin when it does not feed the nce pin of the other device. external host (max ii de v ice or microprocessor) co n f_do n e nstatus nce data[0] nco n fig arria ii de v ice memory addr g n d dclk nceo n .c. msel[n..0] (3) (4) data[0] (1) 10 k 10 k (1) 10 k (2) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?27 ps configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration the arria ii device receives configuration data on the data0 pin and the clock is received on the dclk pin. data is latched into the device on the rising edge of dclk . if you are using configuration data in .rbf , .hex , or . ttf format, you must send the lsb of each data byte first. for example, if the .rbf contains the byte sequence 02 1b ee 01 fa , the serial bitstream you must transmit to the device is 0100-0000 1101-1000 0111-0111 1000-0000 0101-1111 . figure 9?11 shows how to configure multiple devices using an external host. this circuit is similar to the ps configuration circuit for a single device, except the arria ii devices are cascaded for multi-device configuration. in arria ii devices, the initialization clock source is either the internal oscillator or the optional clkusr pin. by default, the internal oscillator is the clock source for initialization. if you use the internal oscillator, the arria ii device provides itself with enough clock cycles for proper initialization. therefore, if the internal oscillator is the initialization clock source, sending the entire configuration file to the device is sufficient to configure and initialize the device. driving dclk to the device after configuration is complete does not affect device operation. you also have the flexibility to synchronize initialization of multiple devices or to delay initialization with the clkusr option. you can turn on the enable user-supplied start-up clock (clkusr) option in the quartus ii software from the general tab of the device and pin options dialog box. if you supply a clock on clkusr , it does not affect the configuration process. arria ii devices support f max of 125 mhz. figure 9?11. multi-device ps configuration using an external host notes to figure 9?11 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the arria ii device. for arria ii gx devi ces, use the v ccio pin. for arria ii gz devices, use the v ccpgm pin. v ccio /v ccpgm must be high enough to meet the v ih specification of the i/o on both the device and the external host. altera recommends powering up the configuration system i/os with v ccio /v ccpgm . (2) a pull-up resistor to v ccio /v ccpgm or a pull-down resistor keeps the nconfig line in a known state when the external host is not driving the line. (3) the msel pin settings vary for different configuration voltage standards and por delay. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . co n f_do n e nstatus nce nco n fig arria ii de v ice 1 arria ii de v ice 2 memory addr g n d dclk co n f_do n e nstatus nce nco n fig dclk nceo nceo n .c. external host (max ii de v ice or microprocessor) msel[n..0] data[0] data[0] data[0] (3 ) msel[n..0] (3) 10 k (1) (1) 10 k 10 k (1) 10 k (2) 9?28 chapter 9: configuration, design security, and remote system upgrades in arria ii devices ps configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation after the first device completes configuration in a multi-device configuration chain, its nceo pin drives low to activate the second device?s nce pin, which prompts the second device to begin configuration. the second device in the chain begins configuration in one clock cycle. therefore, the transfer of data destinations is transparent to the max ii device or microprocessor. all other configuration pins ( nconfig , nstatus , dclk , data0 , and conf_done ) are connected to every device in the chain. configuration signals can require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered for every fourth device. because all device conf_done pins are tied together, all devices initialize and enter user mode at the same time. because all nstatus and conf_done pins are tied together, if any device detects an error, configuration stops for the entire chain and you must reconfigure the entire chain. for example, if the first device flags an error on nstatus , it resets the chain by pulling its nstatus pin low. this behavior is similar to a single device detecting an error. in your system, you can have multiple devices that contain the same configuration data. to support this configuration scheme, all device nce inputs are tied to gnd, while the nceo pins are left floating. all other configuration pins ( nconfig , nstatus , dclk , data0 , and conf_done ) are connected to every device in the chain. configuration signals can require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered for every fourth device. devices must be the same density and package. all devices start and complete configuration at the same time. figure 9?12 shows a multi-device ps configuration when both arria ii devices are receiving the same configuration data. figure 9?12. multiple-device ps configuration when both devices receive the same data notes to figure 9?12 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the arria ii device. for arria ii gx devi ces, use the v ccio pin. for arria ii gz devices, use the v ccpgm pin. v ccio /v ccpgm must be high enough to meet the v ih specification of the i/o on both the device and the external host. altera recommends powering up the configuration system i/os with v ccio /v ccpgm . (2) a pull-up resistor to v ccio /v ccpgm or a pull-down resistor keeps the nconfig line in a known state when the external host is not driving the line. (3) the msel pin settings vary for different configuration voltage standards and por delays. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . co n f_do n e nstatus nce nco n fig arria ii de v ice arria ii de v ice memory addr g n d dclk co n f_do n e nstatus nce nco n fig dclk nceo nceo n .c. external host (max ii de v ice or microprocessor) msel[n..0] n .c. g n d (3) data [ 0 ] data [ 0 ] msel[n..0] (3) data [ 0 ] (1) 10 k 10 k (1) 10 k (2) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?29 ps configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration ps configuration timing figure 9?13 shows the timing waveform for a ps configuration when using a max ii device or microprocessor as an external host. ta b l e 9 ?1 2 lists the timing parameters for arria ii devices for ps configuration. figure 9?13. ps configuration timing waveform (note 1) notes to figure 9?13 : (1) the beginning of this waveform shows the device in user mode. in user mode, nconfig , nstatus , and conf_done are at logic high levels. when nconfig is pulled low, a reconfiguration cycle begins. (2) after power-up, the arria ii device holds nstatus low for the time of the por delay. (3) after power-up, before and during configuration, conf_done is low. (4) two dclk falling edges are required after conf_done goes high to begin initialization of the device. (5) do not leave dclk floating after configuration. you can drive it high or low, whichever is more convenient. (6) for arria ii gx devices, data[0] is a dedicated pin that is used for both ps and as configuration modes and is not available as a user i/o pin after configuration. for arria ii gz devices, data[0] is available as a user i/o pin after configuration. t cd2um nco n fig nstatus (2) co n f_do n e (3) dclk data user i/o i n it_do n e bit 0 bit 1 bit 2 bit 3 bit n t cf2st1 t cf2cd t cfg t ch t cl t dh t dsu t cf2ck t status t clk t cf2st0 t st2ck high-z user mode (6) (5) (4) table 9?12. ps timing parameters for arria ii devices (part 1 of 2) symbol parameter minimum maximum units t cf2cd nconfig low to conf_done low ? 800 ns t cf2st0 nconfig low to nstatus low ? 800 (2) ns t cfg nconfig low pulse width 2 ? ? nstatus low pulse width 10 500 (2) ? (1) nconfig high to nstatus high ? 500 (2) ? nconfig high to first rising edge on dclk 500 ? ? nstatus high to first rising edge of dclk 2? ? dclk 4?ns t dh data hold time after rising edge on dclk 0?ns t ch dclk high time 3.2 ? ns t cl dclk low time 3.2 ? ns t clk dclk period 8 ? ns 9?30 chapter 9: configuration, design security, and remote system upgrades in arria ii devices ps configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation f for more information about device configuration options and how to create configuration files, refer to the device configuration options and configuration file formats chapters in volume 2 of the configuration handbook . ps configuration using a download cable 1 in this section, the generic term ?download cable? includes the altera usb-blaster usb port download cable, byteblaster ii parallel port download cable, ethernetblaster download cable, and ethernetblaster ii download cable. in a ps configuration with a download cable, an intelligent host (such as a pc) transfers data from a storage device to the arria ii device using the download cable. during configuration, the programming hardware or download cable places the configuration data one bit at a time on the device?s data0 pin. the configuration data is clocked into the target device until conf_done goes high. when using a download cable, setting the auto-restart configuration after error option does not affect the configuration cycle because you must manually restart the configuration in the quartus ii software when an error occurs. additionally, the enable user-supplied start-up clock ( clkusr ) option has no affect on the device initialization because this option is disabled in the .sof when programming the device using the quartus ii programmer and download cable. therefore, if you turn on the clkusr option, you are not required to provide a clock on the clkusr pin when you are configuring the device with the quartus ii programmer and a download cable. f max dclk frequency ? 125 mhz t r input rise time ? 40 ns t input fall time ? 40 ns t cd2um conf_done high to user mode (3) 55 150 ? conf_done high to clkusr enabled 4 maximum dclk period ?? t cd2umc conf_done high to user mode with clkusr option on t cd2cu + (8532 clkusr period) ?? notes to table 9?12 : (1) this value is applicable if you do not delay configuration by externally holding the nstatus low. (2) this value is applicable if you do not delay configuration by extending the nconfig or nstatus low pulse width. (3) the minimum and maximum numbers apply only if you choose the internal oscillator as the clock source for initializing the de vice. table 9?12. ps timing parameters for arria ii devices (part 2 of 2) symbol parameter minimum maximum units chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?31 ps configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 9?14 shows a ps configuration for arria ii devices using a usb-blaster, ethernetblaster, ethernetblaster ii, or byteblaster ii cable. you can use a download cable to configure multiple arria ii devices by connecting the nceo pin of each device to the nce pin of the subsequent device. the nce pin of the first device is connected to gnd, while its nceo pin is connected to the nce of the next device in the chain. the nce input of the last device comes from the previous device, while its nceo pin is left floating. all other configuration pins ( nconfig , nstatus , dclk , data0 , and conf_done ) are connected to every device in the chain. because all conf_done pins are tied together, all devices in the chain initialize and enter user mode at the same time. in addition, because the nstatus pins are tied together, the entire chain halts configuration if any device detects an error. the auto-restart configuration after error option does not affect the configuration cycle because you must manually restart configuration in the quartus ii software when an error occurs. figure 9?14. ps configuration using a usb-blaster, ethernetblaster, ethernetblaster ii, or byteblaster ii cable notes to figure 9?14 : (1) connect the pull-up resistor to the same supply voltage, v ccio for arria ii gx devices or v ccpgm for arria ii gz devices as the usb-blaster, ethernetblaster, ethernetblaster ii, or byteblaster ii cable. (2) you only need the pull-up resistors on data0 and dclk if the download cable is the only configuration scheme used on your board. this ensures that data0 and dclk are not left floating after configuration. for example, if you are also using a configuration device, you do not need the pull -up resistors on data0 and dclk . (3) the msel pin settings vary for different configuration voltage standards and por delays. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . (4) in the usb-blaster and byteblaster ii cables, this pin is connected to nce when it is used for as programming; otherwise, it is a no connect. dow n load cable 10-pi n male heade r (p s mode) v ccio / v ccpgm (1) arria ii de v ice dclk nco n fig co n f_do n e shield g n d 10 k 10 k 10 k 10 k 10 k nstatus data0 pi n 1 nce g n d g n d v io (4) (2) (2) nceo n .c. msel[n..0] (3) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) 9?32 chapter 9: configuration, design security, and remote system upgrades in arria ii devices ps configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 9?15 shows how to configure multiple arria ii devices with a download cable. f for more information about how to use the usb-blaster, byteblaster ii, ethernetblaster, or ethernetblaster ii cables, refer to the following user guides: byteblaster ii download cable user guide ethernetblaster communications cable user guide ethernetblaster ii communications cable user guide usb-blaster download cable user guide figure 9?15. multi-device ps configuration using a usb-blaster, ethernetblaster, ethernetblaster ii, or byteblaster ii cable notes to figure 9?15 : (1) connect the pull-up resistor to the same supply voltage, v ccio for arria ii gx devices or v ccpgm for arria ii gz devices as the usb-blaster, ethernetblaster, ethernetblaster ii, or byteblaster ii cable. (2) you only need the pull-up resistors on data0 and dclk if the download cable is the only configuration scheme used on your board. this ensures that data0 and dclk are not left floating after configuration. for example, if you are also using a configuration device, you do not need the pull -up resistors on data0 and dclk . (3) the msel pin settings vary for different configuration voltage standards and por delays. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . (4) in the usb-blaster and byteblaster ii cables, this pin is connected to nce when it is used for as programming; otherwise, it is a no connect. arria ii device 1 arria ii device 2 nce nconfig conf_done dclk nce nconfig conf_done dclk nceo gnd (ps mode) nstatus nstatus data0 data0 gnd 10 k 10 k 10 k 10 k 10 k pin 1 download cable 10-pin male header nceo n.c. gnd v io (4) (2) (2) msel[n..0] msel[n..0] (3) (3) 10 k v ccio /v ccpgm (1) v ccio /v ccpgm (1) v ccio / v ccpgm (1) v ccio /v ccpgm (1) v ccio /v ccpgm (1) v ccio /v ccpgm (1) v ccio /v ccpgm (1) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?33 jtag configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration jtag configuration jtag has developed a specification for boundary-scan testing. this boundary-scan test (bst) architecture offers the capability to efficiently test components on pcbs with tight lead spacing. the bst architecture can test pin connections without using physical test probes and capture functional data while a device is operating normally. you can also use jtag circuitry to shift configuration data into the device. the quartus ii software automatically generates .sof s that you can use for jtag configuration with a download cable in the quartus ii software programmer. f for more information about jtag boundary-scan testing and commands available using arria ii devices, refer to the following documents: 1 you cannot use the arria ii decompression or design security features if you are configuring your arria ii device using jtag-based configuration. 1 a device operating in jtag mode uses four required pins, tdi , tdo , tms , and tck , and one optional pin, trst . the tck pin has an internal weak pull-down resistor, while the tdi , tms , and trst pins have weak internal pull-up resistors (typically 25 k ? f for more information about how to connect a jtag chain with multiple voltages across the devices in the chain, refer to the jtag boundary-scan testing in arria ii devices chapter. table 9?13. jtag pins signals (part 1 of 2) pin name pin type description tdi test data input serial input pin for instructions as well as test and programming data. data is shifted in on the rising edge of tck . if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting this pin to logic high. tdo test data output serial data output pin for instructions as well as test and programming data. data is shifted out on the falling edge of tck . the pin is tri-stated if data is not being shifted out of the device. if the jtag interface is not required on your board, you can disable the jtag circuitry by leaving this pin unconnected. 9?34 chapter 9: configuration, design security, and remote system upgrades in arria ii devices jtag configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation during jtag configuration, you can download data to the device on the pcb through the usb-blaster, byteblaster ii, ethernetblaster, or ethernetblaster ii download cable. figure 9?16 shows the jtag configuration of a single arria ii device. tms test mode select input pin that provides the control signal to determine the transitions of the tap controller state machine. tms is evaluated on the rising edge of tck . therefore, you must set up tms before the rising edge of tck . transitions within the state machine occur on the falling edge of tck after the signal is applied to tms . if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting this pin to logic high. tck test clock input clock input to the bst circuitry. some operations occur at the rising edge, while others occur at the falling edge. if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting tck to gnd. trst (1) test reset input (optional) active-low input to asynchronously reset the boundary-scan circuit. the trst pin is optional according to the ieee std. 1149.1 standard. if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting the trst pin to gnd. one k ? trst pin. note to table 9?13 : (1) the trst pin is only available for arria ii gz devices. table 9?13. jtag pins signals (part 2 of 2) pin name pin type description figure 9?16. jtag configuration of a single device using a download cable notes to figure 9?16 : (1) connect the pull-up resistors to the v ccio power supply of i/o bank 3c for arria ii gx devices and to v ccpgm (1.8-v, 2.5-v or 3.0-v) power supply for arria ii gz devices. (2) connect the pull-up resistor to the same supply voltage, v ccio for arria ii gx devices or v ccpd for arria ii gz devices as the usb-blaster, byteblaster ii, ethernetblaster, or ethernetblaster ii cable. (3) the resistor value can vary from 1 k ? ? nce to gnd or drive it low for successful jtag configuration. (5) connect the nconfig and msel pins to support a non-jtag configuration scheme. if you only use the jtag configuration, connect nconfig to v ccio for arria ii gx device, v ccpgm for arria ii gz device, and msel to gnd. pull dclk either high or low, whichever is convenient on your board. (6) in the usb-blaster and byteblaster ii cables, this pin is a no connect. nce (4) msel[n..0] nco n fig co n f_do n e g n d g n d (5) (5) 10 k 10 k nstatus pi n 1 dow n load cable 10-pi n male heade r (jtag mode) (top view) g n d tck tdo tms tdi 1 k g n d v io (6) arria ii de v ice nce0 n .c. dclk (5) v ccio / v ccpgm (1) (3) (3) v ccio / v ccpgm (1) v ccio / v ccpd (2) v ccio / v ccpd (2) v ccio / v ccpd (2) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?35 jtag configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration to configure a single device in a jtag chain, the programming software places all other devices in bypass mode. in bypass mode, devices pass programming data from the tdi pin to the tdo pin through a single bypass register without being affected internally. this scheme enables the programming software to program or verify the target device. configuration data driven into the device appears on the tdo pin one clock cycle later. the quartus ii software verifies successful jtag configuration after completion. at the end of configuration, the software checks the state of conf_done through the jtag port. when the quartus ii software generates a jam tm file (. jam) for a multi-device chain, it contains instructions so that all the devices in the chain are initialized at the same time. if conf_done is not high, the quartus ii software indicates that configuration has failed. if conf_done is high, the software indicates that configuration was successful. after the configuration bitstream is transmitted serially using the jtag tdi port, the tck port is clocked an additional 1,094 cycles to perform device initialization. arria ii devices have dedicated jtag pins that always function as jtag pins. not only can you perform jtag testing on arria ii devices before and after, but also during configuration. while other device families do not support jtag testing during configuration, arria ii devices support the bypass, id code, and sample instructions during configuration without interrupting configuration. all other jtag instructions may only be issued by first interrupting configuration and reprogramming i/o pins using the config_io instruction. the config_io instruction allows i/o buffers to be configured using the jtag port and when issued, interrupts configuration. this instruction allows you to perform board-level testing prior to configuring the arria ii device or waiting for a configuration device to complete configuration. after configuration is interrupted and jtag testing is complete, you must reconfigure the part using jtag ( pulse_config instruction) or by pulsing nconfig low. the chip-wide reset ( dev_clrn ) and chip-wide output enable ( dev_oe ) pins on arria ii devices do not affect jtag boundary-scan or programming operations. toggling these pins does not affect jtag operations (other than the usual boundary-scan operation). when designing a board for jtag configuration of arria ii devices, consider the dedicated configuration pins. table 9?14 lists how these pins are connected during jtag configuration. table 9?14. dedicated configuration pin connections during jtag configuration (part 1 of 2) signal description nce on all arria ii devices in the chain, nce must be driven low by connecting it to gnd ground, pulling it low using a resistor, or driving it by some control circuitry. for devices that are also in multi-device fpp, as, or ps configuration chains, the nce pins must be connected to gnd during jtag configuration or jtag must be configured in the same order as the configuration chain. nceo on all arria ii devices in the chain, you can leave nceo floating or connected to nce of the next device. msel do not leave these pins floating. these pins supp ort whichever non-jtag configuration is used in production. if you only use jtag configuration, tie these pins to gnd. nconfig driven high by connecting to v ccio or v ccpgm , pulling up using a resistor, or driven high by some control circuitry. 9?36 chapter 9: configuration, design security, and remote system upgrades in arria ii devices jtag configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation when programming a jtag device chain, one jtag-compatible header is connected to several devices. the number of devices in the jtag chain is limited only by the drive capability of the download cable. when four or more devices are connected in a jtag chain, altera recommends buffering the tck , tdi , and tms pins with an on-board buffer. jtag-chain device programming is ideal when the system contains multiple devices or when testing your system using jtag bst circuitry. figure 9?17 shows a multi-device jtag configuration when using a download cable. nstatus pull to v ccio or v ccpgm using a 10-k ? nstatus pin must be pulled up to v ccio or v ccpgm individually. conf_done pull to v ccio or v ccpgm using a 10- k ? conf_done pin must be pulled up to v ccio or v ccpgm individually. conf_done going high at the end of jtag configuration indi cates successful configuration. dclk do not leave dclk floating. drive low or high, whichever is more convenient on your board. table 9?14. dedicated configuration pin connections during jtag configuration (part 2 of 2) signal description figure 9?17. jtag configuration of multiple devices using a download cable notes to figure 9?17 : (1) connect the pull-up resistors to the v ccio power supply of i/o bank 3c for arria ii gx devices and to v ccpgm (1.8-v, 2.5-v or 3.0-v) power supply for arria ii gz devices. (2) you must connect the pull-up resistor to the same supply voltage, v ccio for arria ii gx devices or v ccpd for arria ii gz devices as the usb-blaster, byteblaster ii, ethernetblaster, or ethernetblaster ii cable. (3) the resistor value can vary from 1 k ? ? nconfig and msel pins to support a non-jtag configuration scheme. if you only use jtag configuration, connect nconfig to the v ccio for arria ii gx device, v ccpgm for arria ii gz device, and msel to gnd. pull dclk either high or low, whichever is convenient on your board. (6) you must connect nce to gnd or drive it low for successful jtag configuration. stratix ii or stratix ii gx de v ice tms tck dow n load cable 10-pi n male heade r (jtag mode) tdi tdo v ccio / v ccpd (2) pi n 1 nstatus nco n fig msel[n..0] nce (6) co n f_do n e tms tck tdi tdo nstatus nco n fig msel[n..0] nce (6) co n f_do n e tms tck tdi tdo nstatus nco n fig msel[n..0] nce (6) co n f_do n e (5) (5) v io (4) arria ii de v ice arria ii de v ice 10 k 10 k 10 k 10 k 10 k 1 k 10 k dclk dclk dclk (5) arria ii de v ice (5) (5) (5) (5) (5) (5) (3) (3) v ccio / v ccpd (2) v ccio / v ccpd (2) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) v ccio / v ccpgm (1) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?37 jtag configuration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration you must connect the nce pin to gnd or drive it low during jtag configuration. in multi-device fpp, as, and ps configuration chains, the nce pin of the first device is connected to gnd, while its nceo pin is connected to nce of the next device in the chain. the nce input of the last device comes from the previous device, while its nceo pin is left floating. in addition, the conf_done and nstatus signals are all shared in multi-device fpp, as, or ps configuration chains so the devices can enter user mode at the same time after configuration is complete. when the conf_done and nstatus signals are shared among all the devices, you must configure every device when jtag configuration is performed. 1 if you only use jtag configuration, altera recommends connecting the circuitry as shown in figure 9?17 , where each of the conf_done and nstatus signals are isolated to enable each device to enter user mode individually. after the first device completes configuration in a multi-device configuration chain, its nceo pin drives low to activate the nce pin of the second device, which prompts the second device to begin configuration. therefore, if these devices are also in a jtag chain, ensure the nce pins are connected to gnd during jtag configuration or that the devices are jtag configured in the same order as the configuration chain. as long as the devices are jtag configured in the same order as the multi-device configuration chain, the nceo of the previous device drives the nce of the next device low when it has successfully been jtag configured. you can place other altera devices that have jtag support in the same jtag chain for device programming and configuration. 1 jtag configuration support is enhanced and allows more than 17 arria ii devices to be cascaded in a jtag chain. f for more information about configuring multiple altera devices in the same configuration chain, refer to the configuring mixed altera device chains chapter in volume 2 of the configuration handbook . you can configure arria ii devices using multiple configuration schemes on the same board. combining jtag configuration with a ps or as configuration on your board is useful in the prototyping environment because it allows multiple methods to configure your fpga. f for more information about combining jtag configuration with other configuration schemes, refer to the combining different configuration schemes chapter in volume 2 of the configuration handbook . 9?38 chapter 9: configuration, design security, and remote system upgrades in arria ii devices jtag configuration arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 9?18 shows a jtag configuration of an arria ii device using a microprocessor. jam stapl jam standard test and programming language (stapl), jedec standard jesd-71, is a standard file format for in-system programmability (isp) purposes. jam stapl supports programming or configuration of programmable devices and testing of electronic systems, using the ieee 1149.1 jtag interface. jam stapl is a freely licensed open standard. the jam player provides an interface for manipulating the ieee std. 1149.1 jtag tap state machine. f for more information about jtag and jam stapl in embedded environments, refer to an 425: using command-line jam stapl solution for device programming . to download the jam player, visit the altera website . figure 9?18. jtag configuration of a single device using a microprocessor notes to figure 9?18 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for all arria ii devices in the chain. the v ccio power supply for arria ii gx devices or the v ccpgm power supply for arria ii gz devices must be high enough to meet the v ih specification of the i/o on the device. (2) to drive the jtag pins, the microprocessor must use the same i/o standard as v ccio for arria ii gx devices or v ccpd for arria ii gz devices. (3) you must connect nce to gnd or drive it low for successful jtag configuration. (4) connect the nconfig and msel pins to support a non-jtag configuration scheme. if you use only the jtag configuration, connect nconfig to the v ccio for arria ii gx device, v ccpgm for arria ii gz device, and msel to gnd. pull dclk either high or low, whichever is convenient on your board. arria ii gx devices use msel[3..0] pins while arria ii gz devices use msel[2..0] pins. tdi (2) tck (2) tms (2) tdo (2) microprocessor memory addr data arria ii de vice nstatus conf_done v ccio / v ccpgm (1) 10 k 10 k (3) nce nconfig n.c. gnd (4) (4) nceo msel[n..0] dclk (4) v ccio / v ccpgm (1) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?39 device configuration pins july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration device configuration pins ta b l e 9 ?1 5 through ta b l e 9 ?1 8 list the connections and functionality of all the configuration-related pins on the arria ii devices. ta b l e 9 ?1 5 lists the arria ii configuration pins and their power supply. table 9?15. configuration pin summary for arria ii devices description input/output dedicated powered by (1) configuration mode tdi input yes v ccpd /v ccio jtag tms input yes v ccpd /v ccio jtag tck input yes v ccpd /v ccio jtag trst input yes v ccpd /v ccio jtag tdo output yes v ccpd /v ccio jtag crc_error output ? pull-up optional, all modes data0 input ? v ccpgm /v ccio (2) all modes except jtag data[7..1] input ? v ccpgm /v ccio (2) fpp init_done output ? pull-up optional, all modes clkusr input ? v ccpgm /v ccio (2) optional nstatus bidirectional yes v ccpgm /pull-up all modes nce input yes v ccpgm /v ccio all modes conf_done bidirectional yes v ccpgm /pull-up all modes nconfig input yes v ccpgm /v ccio all modes asdo output yes v ccpgm /v ccio as ncso output yes v ccpgm /v ccio as dclk input yes v ccpgm /v ccio ps, fpp output yes v ccpgm /v ccio as nio_pullup input yes v cc (3) all modes nceo output ? v ccpgm /pull-up all modes msel[2..0] (4) input yes v ccio (6) all modes msel[3..0] (5) input yes v ccpd all modes notes to table 9?15 : (1) arria ii gx devices use v ccio while arria ii gz devices use v ccpd . (2) for arria ii gz devices, these pins are powered up by v ccpgm during configuration and v ccio if they are used as a regular i/o in user mode. (3) although the nio_pullup is powered up by v cc , altera recommends connecting this pin to v ccpgm for arria ii gz devices, v ccio for arria ii gx devices, or gnd directly without using a pull-up or pull-down resistor. (4) arria ii gz devices use a msel[2..0] configuration scheme. (5) arria ii gx devices use a msel[3..0] configuration scheme. (6) although msel[2..0] , porsel , and nio_pullup are powered by v cc , altera recommends connecting these pins to v ccpgm or gnd directly without using a pull-up or pull-down resistor. 9?40 chapter 9: configuration, design security, and remote system upgrades in arria ii devices device configuration pins arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 9 ?1 6 lists the dedicated configuration pins. you must connect these pins properly on your board for successful configuration. some of these pins may not be required for your configuration schemes. table 9?16. dedicated configuration pins on the arria ii device (part 1 of 4) pin name user mode configuration scheme pin type description vccpd n/a all power (1) dedicated power pin. use this pin to power the i/o pre-drivers, the hstl/sstl input buffers, and the msel[3..0 ] pins. you must connect v ccpd according to the i/o standard used in the same bank: nio_pullup n/a all input dedicated input that chooses whether the internal pull-up resistors on the user i/o pins and dual-purpose i/o pins ( data[7..0] , clkusr , init_done , dev_oe , and dev_clrn ) are on or off before and during configuration. a logic high turns off the weak internal pull-up resistors; a logic low turns them on. the nio-pullup input buffer is powered by v cc and has an internal 5-k ? pull-down resistor that is always active. you can tie the nio-pullup directly to the v ccpgm power supply for arria ii gz devices and the v ccio power supply for arria ii gx devices, or gnd. msel[2..0] n/a all input three-bit configuration input th at sets the arria ii gz device configuration scheme. for mo re information about the appropriate connections, refer to table 9?7 on page 9?10 . you must hardwire these pins to v ccpgm or gnd. the msel[2..0] pins have internal 5-k ? pull-down resistors that are always active. msel[3..0] n/a all input four-bit configuration input that sets the arria ii gx device configuration scheme. for mo re information about the appropriate connections, refer to table 9?6 on page 9?9 . you must hardwire these pins to v ccpd or gnd. the msel[3..0] pins have internal 5-k ? pull-down resistors that are always active. nconfig n/a all input configuration control input. pulling this pin low during user-mode causes the device to lose its configuration data, enter a reset state, and tri-state all i/o pins. returning this pin to a logic-high level starts a reconfiguration. configuration is possible only if this pin is high, except in jtag programming mode, when nconfig is ignored. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?41 device configuration pins july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration nstatus n/a all bidirectional open-drain the device drives nstatus low immediately after power-up and releases it after the por time. during user mode and regular configuration, this pin is pulled high by an external 10-k : resistor. this pin, when driven low by the arria ii device, indicates that the device has encountered an error during configuration. status output?if an error occurs during configuration, nstatus is pulled low by the target device. status input?if an external source drives the nstatus pi n low during configuration or initialization, the target device enters an error state. driving nstatus low after configuration and initialization does not affect the configured device. if you use a configuration device, driving nstatus low causes the configuration device to attempt to configure the device, but because the device ignores transitions on nstatus in user mode, the device does not reconfigure. to begin a reconfiguration, nconfig must be pulled low. if v ccio for arria ii gx devices or v ccpgm for arria ii gz devices are not fully powered up, the following could occur: v ccio /v ccpgm is powered high enough for the nstatus bu ffer to function properly and nstatus is driven low. when v ccio /v ccpgm is ramped up, por trips and nstatus i s released after por expires. v ccio /v ccpgm is not powered high enough for the nstatus bu ffer to function properly. in this situation, nstatus mi ght appear logic high, triggering a configurati on a ttempt that fails because por did not yet trip. wh en v ccpd is powered up, nstatus is pulled low because por di d not yet trip. when por trips after v ccio /v ccpgm is powered up, nstatus is released and pulled high. at that point, reconfiguration is triggered and the device is c onfigured. conf_done n/a all bidirectional open-drain status output. the target device drives the conf_done pin low before and during configuration. after all configuration data is received without error and the initialization cycle starts, the target device releases conf_done . status input. after all data is received and conf_done goes high, the target device initializes and enters user mode. the conf_done pin must have an external 10-k : pull-up resistor for the device to initialize. driving conf_done low after configuration and initialization does not affect the configured device. table 9?16. dedicated configuration pins on the arria ii device (part 2 of 4) pin name user mode configuration scheme pin type description 9?42 chapter 9: configuration, design security, and remote system upgrades in arria ii devices device configuration pins arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation nce n/a all input active-low chip enable. the nce pin activates the device with a low signal to allow configuration. the nce pin must be held low during configuration, initialization, and user mode. in single device configuration, it must be tied low. in multi-device configuration, nce of the first device is tied low while its nceo pin is connected to nce of the next device in the chain. the nce pin must also be held low for successful jtag programming of the device. nceo i/o all output open-drain output that drives low when device configuration is complete. in a single-device configuration, this pin is left floating. in a multi-device configuration, this pin feeds the next device?s nce pin and is pulled high by an external 10-k ? nceo of the last device in the chain is left floating. the nceo pin is powered by v ccio for arria ii gx devices and v ccpgm for arria ii gz devices. after configuration, nceo is available as user i/o pins. the state of the nceo pin depends on the dual-purpose pin settings. asdo (2) n/a as output control signal from the arria ii device to the serial configuration device in as mode used to read out configuration data. in as mode, asdo has an internal pull-up resistor that is always active. ncso (2) n/a as output output control signal from the arria ii device to the serial configuration device in as mode that enables the configuration device. in as mode, ncso has an internal pull-up resistor that is always active. dclk (2) n/a synchronous configuration schemes (ps, fpp, as) input (ps, fpp) output (as) in ps and fpp configurations, dclk is the clock input used to clock data from an external source into the target device. data is latched into the device on the rising edge of dclk . in as mode, dclk is an output from the arria ii device that provides timing for the configuration interface. in as mode, dclk has an internal pull-up resistor (typically 25 k ? dclk must be driven either high or low, whichever is more convenient. toggling this pin after configuration does not affect the configured device. table 9?16. dedicated configuration pins on the arria ii device (part 3 of 4) pin name user mode configuration scheme pin type description chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?43 device configuration pins july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration data0 (2) n/a ps, fpp, as input data input. in serial configuration modes, bit-wide configuration data is presented to the target device on the data0 pin. in as mode, data0 has an internal pull-up resistor that is always active. for arria ii gx devices, data0 is a dedicated pin that is used for both ps and as configuration modes and is not available as a user i/o pin after configuration. for arria ii gz devices, after ps or fpp configuration, data0 is available as a user i/o pin. the state of this pin depends on the dual-purpose pin settings. data[7..1] i/o parallel configuration schemes (fpp) inputs data inputs. byte-wide configuration data is presented to the target device on data[7..0] . in serial configuration schemes, they function as user i/o pins during configuration, which means they are tri-stated. after fpp configuration, data[7..1] are available as user i/o pins. the state of these pin depends on the dual-purpose pin settings. notes to table 9?16 : (1) arria ii gz devices do not support the 3.3-v i/o standard. (2) to tri-state the as configuration pins in user mode, turn on the enable input tri-state on active configuration pins in user mode option from the device and pin options dialog box in the configuration tab. this tri-states the dclk , data0 , ncso , and asdo pins. table 9?16. dedicated configuration pins on the arria ii device (part 4 of 4) pin name user mode configuration scheme pin type description 9?44 chapter 9: configuration, design security, and remote system upgrades in arria ii devices device configuration pins arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 9 ?1 7 lists the optional configuration pins. if these optional configuration pins are not enabled in the quartus ii software, they are available as general-purpose user i/o pins. therefore, during configuration, these pins function as user i/o pins and are tri-stated with weak pull-up resistors. table 9?17. optional configuration pins pin name user mode pin type description clkusr n/a if option is on. i/o if option is off. input optional user-supplied clock input synchronizes the initialization of one or more devices. enable this pin by turning on the enable user-supplied start-up clock ( clkusr ) option in the quartus ii software. init_done n/a if option is on. i/o if option is off. output open-drain use as a status pin to indicate when the device has initialized and is in user mode. when nconfig is low and during the beginning of configuration, the init_done pin is tri-stated and pulled high due to an external 10-k ? init_done is programmed into the device (during the first frame of configuration data), the init_done pin goes low. when initialization is complete, the init_done pin is released and pulled high and the device enters user mode. thus, the monitoring circuitry must be able to detect a low-to-high transition. enable this pin by turning on the enable init_done output option in the quartus ii software. dev_oe n/a if option is on. i/o if option is off. input optional pin that allows you to override all tri-states on the device. when this pin is driven low, all i/o pins are tri-stated. when this pin is driven high, all i/o pins behave as programmed. enable this pin by turning on the enable device-wide output enable ( dev_oe ) option in the quartus ii software. dev_clrn n/a if option is on. i/o if option is off. input optional pin that allows you to ov erride all clears on all device registers. when this pin is driven low, all registers are cleared. when this pin is driven high, all registers behave as programmed. enable this pin by turning on the enable device-wide reset ( dev_clrn ) option in the quartus ii software. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?45 device configuration pins july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 9 ?1 8 lists the dedicated jtag pins. jtag pins must be kept stable before and during configuration to prevent accidental loading of jtag instructions. the tdi , tms , and trst pins have weak internal pull-up resistors; the tck pin has a weak internal pull-down resistor (typically 25 k ? ? tdi n/a test data input serial input pin for instructions as well as test and programming data. data is shifted on the rising edge of tck . the tdi pin is powered by the v ccio power supply for arria ii gx devices and the v ccpd power supply for arria ii gz devices. if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting this pin to logic high. tdo n/a test data output serial data output pin for instructions as well as test and programming data. data is shifted out on the falling edge of tck . the pin is tri-stated if data is not being shifted out of the device. the tdo pin is powered up by the v ccpd /v ccio power supply. for more information about connecting a jtag chain with multiple voltages across the devices in the chain, refer to the jtag bo u ndary-scan testing in arria ii devices chapter. if the jtag interface is not required on your board, you can disable the jtag circuitry by leaving this pin unconnected. tms n/a test mode select input pin that provides the control signal to determine the transitions of the tap controller state machine. tms is evaluated on the rising edge of tck . therefore, you must set up tms before the rising edge of tck . transitions in the state machine occur on the falling edge of tck after the signal is applied to tms . the tms pin is powered by the v ccpd /v ccio power supply. if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting this pin to logic high. tck n/a test clock input clock input to the bst circuitry. some operations occur at the rising edge while others occur at the falling edge. the tck pin is powered by the v ccpd /v ccio power supply. it is expected that the clock input waveform have a nominal 50% duty cycle. if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting tck to gnd. trst (1) n/a test reset input (optional) active-low input to asynchronously reset the boundary-scan circuit. the trst pin is optional according to the ieee std. 1149.1 standard. the trst pin is powered by the v ccpd power supply for arria ii gz devices. hold tms at one or keep tck static while trst is changed from 0 to 1. if the jtag interface is not required on your board, you can disable the jtag circuitry by connecting the trst pin to gnd. you need one k ? trst pin. note to table 9?18 : (1) the trst pin is only available for arria ii gz devices. 9?46 chapter 9: configuration, design security, and remote system upgrades in arria ii devices configuration data decompression arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation configuration data decompression arria ii devices support configuration data decompression, which saves configuration memory space and time. this feature allows you to store compressed configuration data in configuration devices or other memory and transmit this compressed bitstream to arria ii devices. during configuration, the arria ii device decompresses the bitstream in real time and programs its sram cells. 1 data indicates that compression typically reduces the configuration bitstream size by 35 to 55% based on the designs used. arria ii devices support decompression in the fpp (when using a max ii device or microprocessor + flash), as or fast as, and ps configuration schemes. the arria ii device decompression feature is not available in the jtag configuration scheme. 1 when using fpp mode, the intelligent host must provide a dclk that is x4 the data rate. therefore, the configuration data must be valid for four dclk cycles. in ps mode, use the arria ii decompression feature because sending compressed configuration data reduces configuration time. when you enable compression, the quartus ii software generates configuration files with compressed configuration data. this compressed file reduces the storage requirements in the configuration device or flash memory and decreases the time needed to transmit the bitstream to the arria ii device. the time required by an arria ii device to decompress a configuration file is less than the time needed to transmit the configuration data to the device. there are two ways to enable compression for arria ii bitstreams?before design compilation (in the compiler settings menu) and after design compilation (in the convert programming files window). chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?47 configuration data decompression july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration to enable compression in the project?s compiler settings menu, follow these steps: 1. on the assignments menu, click device . the settings dialog box appears. 2. after selecting your arria ii device, open the device and pin options window. 3. in the configuration settings tab, turn on generate compressed bitstreams (as shown in figure 9?19 ). you can also enable compression when creating programming files from the convert programming files window. to do this, follow these steps: 1. on the file menu, click convert programming files . 2 . select the programming file type ( .pof , .sram , .hex , .rbf , or .ttf ). 3. for .pof output files, select a configuration device. 4. in the input files to convert box, select sof data . 5. select add file and add an arria ii device .sof . 6. select the name of the file you added to the sof data area and click properties . 7. check the compression check box. figure 9?19. enabling compression for arria ii bitstreams in compiler settings 9?48 chapter 9: configuration, design security, and remote system upgrades in arria ii devices remote system upgrades arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation when multiple arria ii devices are cascaded, you can selectively enable the compression feature for each device in the chain if you are using a serial configuration scheme. figure 9?20 shows a chain of two arria ii devices. the first arria ii device has compression enabled; therefore, receives a compressed bitstream from the configuration device. the second arria ii device has the compression feature disabled and receives uncompressed data. in a multi-device fpp configuration chain (with a max ii device or microprocessor + flash), all arria ii devices in the chain must either enable or disable the decompression feature. you cannot selectively enable the compression feature for each device in the chain because of the data and dclk relationship. you can generate programming files for this setup by clicking convert programming files on the file menu in the quartus ii software. remote system upgrades this section describes the functionality and implementation of the dedicated remote system upgrade circuitry. it also defines several concepts related to remote system upgrades, including factory configuration, application configuration, remote update mode, and user watchdog timer. additionally, this section provides design guidelines for implementing remote system upgrades with the supported configuration schemes. system designers sometimes face challenges such as shortened design cycles, evolving standards, and system deployments in remote locations. arria ii devices help overcome these challenges with their inherent reprogrammability and dedicated circuitry to perform remote system upgrades. remote system upgrades help deliver feature enhancements and bug fixes without costly recalls, reduce time-to-market, extend product life, and help to avoid system downtime. figure 9?20. compressed and uncompressed serial configuration data in the same configuration file nce g n d nceo decompression controller arria ii de v ice nce nceo n .c. s e r ial co n figu r atio n data comp r e ss ed u n comp r e ss ed co n figu r atio n data co n figu r atio n data serial config u ration de v ice arria ii de v ice chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?49 remote system upgrades july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration arria ii devices feature dedicated remote system upgrade circuitry. soft logic (either the nios ? ii embedded processor or user logic) implemented in an arria ii device can download a new configuration image from a remote location, store it in configuration memory, and direct the dedicated remote system upgrade circuitry to start a reconfiguration cycle. the dedicated circuitry performs error detection during and after the configuration process, recovers from any error condition by reverting back to a safe configuration image, and provides error status information. remote system upgrades are supported in as configuration schemes for arria ii gx devices and in fast as configuration schemes for arria ii gz devices. you can also implement remote system upgrades in conjunction with advanced arria ii features such as real-time decompression of configuration data and design security using the advanced encryption standard (aes) for secure and efficient field upgrades. the largest serial configuration device currently supports 128 megabits (mb) of configuration bitstream. 1 arria ii devices only support remote system upgrade in the single device fast as configuration scheme. because the largest serial configuration device currently supports 128 mb of configuration bitstream, the remote system upgrade feature is not supported in ep2agz300, ep2agz350, and larger devices. 1 the remote system upgrade feature is not supported in a multi-device chain. functional description the dedicated remote system upgrade circuitry in arria ii devices manages remote configuration and provides error detection, recovery, and status information. user logic or a nios ii processor implemented in the arria ii device logic array provides access to the remote configuration data source and an interface to the system?s configuration memory. arria ii devices have remote system upgrade processes that involve the following steps: 1. a nios ii processor (or user logic) implemented in the arria ii device logic array receives new configuration data from a remote location. the connection to the remote source uses a communication protocol such as tcp/ip, pci, user datagram protocol (udp), uart, or a proprietary interface. 2. the nios ii processor (or user logic) stores this new configuration data in non-volatile configuration memory. 3. the nios ii processor (or user logic) starts a reconfiguration cycle with the new or updated configuration data. 4. the dedicated remote system upgrade circuitry detects and recovers from any error(s) that might occur during or after the reconfiguration cycle and provides error status information to the user design. 9?50 chapter 9: configuration, design security, and remote system upgrades in arria ii devices remote system upgrades arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation figure 9?21 shows the steps required for performing remote configuration updates. (the numbers in figure 9?21 coincide with the steps just mentioned.) 1 arria ii devices only support remote system upgrade in the single device as configuration scheme. figure 9?22 shows a block diagram for implementing a remote system upgrade with the arria ii configuration scheme. you must set the mode select msel[3..0] pins to as mode to use the remote system upgrade feature for arria ii gx devices and the msel[2..0] pins to fast as mode to use the remote system upgrade feature for arria ii gz devices. 1 the msel pin settings vary for different configuration voltage standards and por delays. to connect msel[3..0] for an arria ii gx device, refer to table 9?6 on page 9?9 . to connect msel[2..0] for an arria ii gz device, refer to table 9?7 on page 9?10 . 1 when using as mode, you must select remote update mode in the quartus ii software and insert the altremote_update megafunction to access the circuitry. for more information, refer to ?altremote_update megafunction? on page 9?60 . figure 9?21. functional diagram of arria ii remote system upgrade de v elopment location memory arria ii config u ration arria ii de v ice control mod u le data data data config u ration 2 3 4 1 figure 9?22. remote system upgrade block diagram for arria ii configuration scheme (note 1) note to figure 9?22 : (1) arria ii gx devices use the as configuration scheme while arria ii gz devices use the fast as configuration scheme. arria ii de v ice serial config u ration de v ice n ios ii processor or user logic chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?51 remote system upgrades july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration enabling remote update you can enable remote update for arria ii devices in the quartus ii software before design compilation (in the compiler settings menu). in remote update mode, the auto-restart configuration after error option is always enabled. to enable remote update in the project?s compiler settings, follow these steps: 1. on the assignment menu, click device . the settings dialog box appears. 2. click device and pin options . the device and pin options dialog box appears. 3. click the configuration tab. 4. from the configuration scheme list, select active serial (you can also use configuration device ) ( figure 9?23 ). 5. from the configuration mode list, select remote ( figure 9?23 ). 6. click ok . 7. in the settings dialog box, click ok . figure 9?23. enabling remote update in the compiler settings menu for arria ii devices 9?52 chapter 9: configuration, design security, and remote system upgrades in arria ii devices remote system upgrade mode arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation configuration image types when performing a remote system upgrade, arria ii device configuration bitstreams are classified as factory configuration images or application configuration images. an image, also referred to as a configuration, is a design loaded into the arria ii device that performs certain user-defined functions. each arria ii device in your system requires one factory image or the addition of one or more application images. the factory image is a user-defined fall-back, or safe configuration, and is responsible for administering remote updates in conjunction with the dedicated circuitry. application images implement user-defined functionality in the target arria ii device. you may include the default application image functionality in the factory image. a remote system upgrade involves storing a new application configuration image or updating an existing one using the remote communication interface. after an application configuration image is stored or updated remotely, the user design in the arria ii device starts a reconfiguration cycle with the new image. any errors during or after this cycle are detected by the dedicated remote system upgrade circuitry and cause the device to automatically revert to the factory image. the factory image then performs error processing and recovery. the factory configuration is written to the serial configuration device only once by the system manufacturer and must not be remotely updated. on the other hand, application configurations may be remotely updated in the system. both images can begin system reconfiguration. remote system upgrade mode remote system upgrade has only one mode of operation?remote update mode. remote update mode allows you to determine the functionality of your system after power-up and offers several features. remote update mode in remote update mode, arria ii devices load the factory configuration image after power up. the user-defined factory configuration determines which application configuration is to be loaded and triggers a reconfiguration cycle. the factory configuration may also contain application logic. when used with serial configuration devices, remote update mode allows an application configuration to start at any flash sector boundary. for example, this translates to a maximum of 128 sectors in the epcs64 device and 32 sectors in the epcs16 device. altera recommends not using the same page in the serial configuration devices for two images. additionally, remote update mode features a user watchdog timer that determines the validity of an application configuration. when an arria ii device is first powered up in remote update mode, it loads the factory configuration located at page zero (page registers pgm[23..0] = 24'b0 ). always store the factory configuration image for your system at page address zero. this corresponds to the start address location 0000000 in the serial configuration device. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?53 remote system upgrade mode july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration the factory image is user-designed and contains soft logic to: set control register and reconfigure set control register and reconfigure reload a different application application n configuration application 1 configuration factory configuration (page 0) configuration error configuration error power up configuration error reload a different application 9?54 chapter 9: configuration, design security, and remote system upgrades in arria ii devices remote system upgrade mode arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation 1 the user watchdog timer is automatically disabled for factory configurations. for more information about the user watchdog timer, refer to ?user watchdog timer? on page 9?59 . if there is an error while loading the application configuration, the cause of the reconfiguration is written by the dedicated circuitry to the remote system upgrade status register. actions that cause the remote system upgrade status register to be written are: nstatus driven low externally nconfig signal or external nconfig pin assertion to low) arria ii devices automatically load the factory configuration located at page address zero. this user-designed factory configuration can read the remote system upgrade status register to determine the reason for the reconfiguration. the factory configuration then takes the appropriate error recovery steps and writes to the remote system upgrade control register to determine the next application configuration to be loaded. when arria ii devices successfully load the application configuration, they enter into user mode. in user mode, the soft logic (a nios ii processor or state machine and the remote communication interface) assists the arria ii device in determining when a remote system update is arriving. when a remote system update arrives, the soft logic receives the incoming data, writes it to the configuration memory device, and triggers the device to load the factory configuration. the factory configuration reads the remote system upgrade status register and control register, determines the valid application configuration to load, writes the remote system upgrade control register accordingly, and initiates system reconfiguration. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?55 dedicated remote system upgrade circuitry july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration dedicated remote system upgrade circuitry this section describes the implementation of the arria ii remote system upgrade dedicated circuitry. the remote system upgrade circuitry is implemented in hard logic. this dedicated circuitry interfaces with the user-defined factory and application configurations implemented in the arria ii device logic array to provide the complete remote configuration solution. the remote system upgrade circuitry contains the remote system upgrade registers, a watchdog timer, and a state machine that controls those components. figure 9?25 shows the datapath of the remote system upgrade block. figure 9?25. remote system upgrade circuit data path (note 1) note to figure 9?25 : (1) the ru_dout , ru_shiftnld , ru_captnupdt , ru_clk , ru_din , ru_nconfig , and ru_nrstimer signals are internally controlled by the altremote_update megafunction. logic array shift register status register (sr) [4..0] control register [37..0] din capture dout bit [4..0] logic array clkout ru_shiftnld ru_captnupdt ru_clk ru_din ru_nconfig ru_nrstimer user watchdog timer ru_dout capture clkin update logic array capture din bit [37..0] dout update update register [37..0] time-out rsu state machine internal oscillator 9?56 chapter 9: configuration, design security, and remote system upgrades in arria ii devices dedicated remote system upgrade circuitry arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation remote system upgrade registers the remote system upgrade block contains a series of registers that store the page addresses, watchdog timer settings, and status information. ta b l e 9 ?1 9 lists these registers. the remote system upgrade control and status registers are clocked by the 10-mhz internal oscillator (the same oscillator that controls the user watchdog timer). however, the remote system upgrade shift and update registers are clocked by the user clock input ( ru_clk ). remote system upgrade control register the remote system upgrade control register stores the application configuration page address and user watchdog timer settings. the control register functionality depends on the remote system upgrade mode selection. in remote update mode, the control register page address bits are set to all zeros ( 24'b0 = 0000000 ) at power up to load the factory configuration. a factory configuration in remote update mode has write access to this register. the control register bit positions are shown in figure 9?26 and listed in table 9?20 . in the figure, the numbers show the bit position of a setting within a register. for example, bit number 25 is the enable bit for the watchdog timer. table 9?19. remote system upgrade registers register description shift this register is accessible by the logic array and al lows the update, status, and control registers to be written and sampled by user logic. control this register contains the current page address, user watchdog timer settings, and one bit specifying whether the current configuration is a factory configuration or an application configuration. during a read operation in an application configuration, this re gister is read into the shift register. when a reconfiguration cycle is initiated, the contents of the update register are written into the control register. update this register contains data similar to that in the control register. however, it can only be updated by the factory configuration by shifting data into the shift register and issuing an update operation. when a reconfiguration cycle is triggered by the factory configuration, the control register is updated with the contents of the update register. during a capture in a factory configuration, this register is read into the shift register. status this register is written to by the remote system upgrade circuitry on every reconfiguration to record the cause of the reconfiguration. this information is used by the factory configuration to determine the appropriate action following a reconfiguration. during a capture cycle, this register is read into the shift register. figure 9?26. remote system upgrade control register w d_timer[11..0] w d_en pgm[23..0] anf 37 36 35 34 33 32 31 30 29 2 8 27 26 25 24 23 22 .. 3 2 1 0 chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?57 dedicated remote system upgrade circuitry july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration the application-not-factory ( anf ) bit indicates whether the current configuration loaded in the arria ii device is the factory configuration or an application configuration. this bit is set low by the remote system upgrade circuitry when an error condition causes a fall-back to the factory configuration. when the anf bit is high, the control register access is limited to read operations. when the anf bit is low, the register allows write operations and disables the watchdog timer. in remote update mode, the factory configuration design sets this bit high (1'b1) when updating the contents of the update register with the application page address and watchdog timer settings. ta b l e 9 ?2 0 lists the remote system upgrade control register contents. remote system upgrade status register the remote system upgrade status register specifies the reconfiguration trigger condition. the various trigger and error conditions include: cyclic redundancy check (crc) error during application configuration nstatus assertion by an external device due to an erro r arria ii device logic array triggered a reconfiguration cycle, possibly afte r d ownloading a new application configuration image external configuration reset ( nconfig ) assertion user watchdog timer time-out the contents of the status register are shown in figure 9?27 and listed in ta b l e 9 ?2 1 . the numbers in the figure show the bit positions within a 5-bit register. table 9?20. remote system upgrade control register contents control register bit remote system upgrade mode value (1) definition anf (2) remote update 1'b0 application not factory pgm[23..0] remote update 24'b0000000 as configuration start address ( stadd[23..0] ) wd_en remote update 1'b0 user watchdog timer enable bit wd_timer[11..0] remote update 12'b000000000000 user watchdog time-out value (most significant 12 bits of 29-bit count value: {wd_timer[11..0], 17'b0} ) notes to table 9?20 : (1) this is the default value of the control register bit. (2) in remote update mode, the remote configuration block does not update the anf bit automatically (you can update it manually). figure 9?27. remote system upgrade status register wd 4 crc 0 nconfig 3 nstatus 1 core_nconfig 2 9?58 chapter 9: configuration, design security, and remote system upgrades in arria ii devices dedicated remote system upgrade circuitry arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 9 ?2 1 lists the status register contents for remote system upgrade. remote system upgrade state machine the remote system upgrade control and update registers have identical bit definitions, but serve different roles (refer to figure 9?26 on page 9?56 ). while both registers can only be updated when the device is loaded with a factory configuration image, the update register writes are controlled by the user logic; the control register writes are controlled by the remote system upgrade state machine. in factory configurations, the user logic sends the anf bit (set high), the page address, and the watchdog timer settings for the next application configuration bit to the update register. when the logic array configuration reset ( ru_nconfig ) goes low, the remote system upgrade state machine updates the control register with the contents of the update register and starts system reconfiguration from the new application page. 1 to ensure successful reconfiguration between the pages, assert the ru_nconfig signal for a minimum of 250 ns. this is equivalent to strobing the reconfig input of the altremote_update megafunction high for a minimum of 250 ns. in the event of an error or reconfiguration trigger condition, the remote system upgrade state machine directs the system to load a factory or application configuration (page zero or page one, based on the mode and error condition) by setting the control register accordingly. table 9?22 lists the contents of the control register after such an event occurs for all possible error or trigger conditions. the remote system upgrade status register is updated by the dedicated error monitoring circuitry after an error condition but before the factory configuration is loaded. table 9?21. remote system upgrade status register contents status register bit definition por reset value crc (from the configuration) crc error caused reconfiguration 1 bit '0' nstatus nstatus caused reconfiguration 1 bit '0' core_nconfig (1) device logic array caused reconfiguration 1 bit '0' nconfig nconfig caused reconfiguration 1 bit '0' wd watchdog timer caused reconfiguration 1 bit '0' note to table 9?21 : (1) logic array reconfiguration forces the system to load the application configuration data into the arria ii device. this occurs after the factory configuration specifies the appropriate application configuration page address by updating the update register. table 9?22. control register contents after an error or reconfiguration trigger condition (part 1 of 2) reconfiguration error/trigger control register setting remote update nconfig reset all bits are 0 nstatus error all bits are 0 core triggered reconfiguration update register chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?59 dedicated remote system upgrade circuitry july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration capture operations during factory configuration access the contents of the update register. this feature is used by the user logic to verify that the page address and watchdog timer settings were written correctly. read operations in application configurations access the contents of the control register. this information is used by the user logic in the application configuration. user watchdog timer the user watchdog timer prevents a faulty application configuration from stalling the device indefinitely. the system uses the timer to detect functional errors after an application configuration is successfully loaded into the arria ii device. 1 to allow the remote system upgrade dedicated circuitry to reset the watchdog timer, you must assert the ru_nrstimer signal active for a minimum of 250 ns. this is equivalent to strobing the reset_timer input of the altremote_update megafunction high for a minimum of 250 ns. the user watchdog timer is a counter that counts down from the initial value loaded into the remote system upgrade control register by the factory configuration. the counter is 29 bits wide and has a maximum count value of 2 29 . when specifying the user watchdog timer value, specify only the most significant 12 bits. the granularity of the timer setting is 2 1 7 cycles. the cycle time is based on the frequency of the 10-mhz internal oscillator. ta b l e 9 ?2 3 lists the operating range of the 10-mhz internal oscillator. the user watchdog timer begins counting after the application configuration enters device user mode. this timer must be periodically reloaded or reset by the application configuration before the timer expires by asserting ru_nrstimer . if the application configuration does not reload the user watchdog timer before the count expires, a time-out signal is generated by the remote system upgrade dedicated circuitry. the time-out signal tells the remote system upgrade circuitry to set the user watchdog timer status bit ( wd ) in the remote system upgrade status register and reconfigures the device by loading the factory configuration. during the configuration cycle of the device, the user watchdog timer is not enabled. errors during configuration are detected by the crc engine. also, the timer is disabled for factory configurations. functional errors should not exist in the factory configuration because it is stored and validated during production and is never updated remotely. crc error all bits are 0 wd time out all bits are 0 table 9?22. control register contents after an error or reconfiguration trigger condition (part 2 of 2) reconfiguration error/trigger control register setting remote update table 9?23. 10-mhz internal oscillator specifications minimum typical maximum units 4.3 5.3 10 mhz 9?60 chapter 9: configuration, design security, and remote system upgrades in arria ii devices quartus ii software support arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation 1 the user watchdog timer is disabled in factory configurations and during the configuration cycle of the application configuration. it is enabled after the application configuration enters user mode. quartus ii software support the quartus ii software provides the flexibility to include the remote system upgrade interface between the arria ii device logic array and the dedicated circuitry, generates configuration files for production, and allows remote programming of the system configuration memory. use the altremote_update megafunction option in the quartus ii software as the interface between the remote system upgrade circuitry and the device logic array interface. using the megafunction block instead of creating your own logic saves design time and offers more efficient logic synthesis and device implementation. altremote_update megafunction the altremote_update megafunction provides a memory-like interface to the remote system upgrade circuitry and handles the shift register read and write protocol in the arria ii device logic. this implementation is suitable for designs that implement the factory configuration functions using a nios ii processor or user logic in the device. figure 9?28 shows the interface signals between the altremote_update megafunction and nios ii processor or user logic. f for more information about the altremote_update megafunction and the description of ports listed in figure 9?28 , refer to the remote update circuitry (altremote_update) megafunction user guide . figure 9?28. interface signals between the altremote_update megafunction and the nios ii processor nios ii processor or user logic read_param write_param param[2..0] data_in[23..0] reconfig reset_timer clock reset busy data_out[23..0] altremote_update chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?61 design security july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration design security this section provides an overview of the design security features and their implementation on arria ii devices using aes. it also covers the new security modes available in arria ii devices. as arria ii devices continue to play roles in larger and more critical designs in competitive commercial and military environments, it is increasingly important to protect your designs from copying, reverse engineering, and tampering. arria ii devices address these concerns with both volatile and non-volatile security feature support. arria ii devices have the ability to decrypt configuration bitstreams using the aes algorithm, an industry-standard encryption algorithm that is fips-197 certified. arria ii devices have a design security feature which uses a 256-bit security key. arria ii devices store configuration data in sram configuration cells during device operation. because sram memory is volatile, the sram cells must be loaded with configuration data each time the device powers up. it is possible to intercept configuration data when it is being transmitted from the memory source (flash memory or a configuration device) to the device. the intercepted configuration data could then be used to configure another device. when using the arria ii design security feature, the security key is stored in the arria ii device. depending on the security mode, you can configure the arria ii device using a configuration file that is encrypted with the same key, or for board testing, configured with a normal configuration file. the design security feature is available when configuring arria ii devices using fpp configuration mode with an external host (such as a max ii device or microprocessor), or when using as, fast as, or ps configuration schemes. the design security feature is also available in remote update mode with as and fast as configuration mode. 1 the design security feature is not available when you are configuring your arria ii device using jtag-based configuration. for more information, refer to ?supported configuration schemes? on page 9?66 . 1 when using a serial configuration scheme such as as, fast as, or ps, configuration time is the same whether or not you enable the design security feature. if you use the fpp scheme with the design security or decompression feature, a x4 dclk is required. this results in a slower configuration time when compared with the configuration time of an arria ii device that has neither the design security nor the decompression feature enabled. 9?62 chapter 9: configuration, design security, and remote system upgrades in arria ii devices design security arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation arria ii security protection arria ii device designs are protected from copying, reverse engineering, and tampering using configuration bitstream encryption. security against copying the security key is securely stored in the arria ii device and cannot be read out through any interface. in addition, as configuration file read-back is not supported in arria ii devices, your design information cannot be copied. security against reverse engineering reverse engineering from an encrypted configuration file is very difficult and time consuming because the arria ii configuration file formats are proprietary and the file contains millions of bits which require specific decryption. reverse engineering the arria ii device is just as difficult because the device is manufactured on the most advanced 40-nm process technology. security against tampering after the tamper protection bit is set in the key programming file generated by the quartus ii software, the arria ii device can only be configured with configuration files encrypted with the same key. tampering is prevented using both volatile and non-volatile keys. aes decryption block the main purpose of the aes decryption block is to decrypt the configuration bitstream prior to entering data decompression or configuration. prior to receiving encrypted data, you must enter and store the 256-bit security key in the device. you can choose between a non-volatile security key and a volatile security key with battery backup. the security key is scrambled prior to storing it in the key storage to make it more difficult for anyone to retrieve the stored key using de-capsulation of the device. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?63 design security july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration flexible security key storage arria ii devices support two types of security key programming?volatile and non-volatile keys. table 9?24 lists the differences between volatile keys and non-volatile keys. you can program the non-volatile key to the arria ii device without an external battery. also, there are no additional requirements of any of the arria ii power supply inputs. v ccbat is a dedicated power supply for volatile key storage and not shared with other on-chip power supplies, such as v ccio or v cc . v ccbat continuously supplies power to the volatile register regardless of the on-chip supply condition. 1 for arria ii gx devices, after power up, wait 100 ms (standard por delay) or 4 ms (fast por delay) before beginning key programming to ensure that v ccbat is at full rail. for arria ii gz devices, after power up, wait 300 ms ( porsel =0) or 12ms ( porsel = 1) before beginning key programming to ensure that v ccbat is at full rail. f for more information about how to calculate the key retention time of the battery used for volatile key storage, refer to the arria ii gx powerplay early power estimator . f for more information about battery specifications, refer to the device datasheet for arria ii devices chapter. f for more information about the vccbat pin connection recommendations, refer to arria ii device family pin connection guidelines . table 9?24. security key options options volatile key non-volatile key key programmability reprogrammable and erasable one-time programmable external battery required not required key programming method (1) on-board on and off board design protection secure against copying and reverse engineering. tamper resistant if volatile tamper protection bit is set. (2) secure against copying and reverse engineering. tamper resistant if tamper protection bit is set. notes to table 9?24 : (1) key programming is carried out using the jtag interface. (2) arria ii gz devices do not support this feature. 9?64 chapter 9: configuration, design security, and remote system upgrades in arria ii devices design security arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation arria ii design security solution arria ii devices are sram-based devices. to provide design security, arria ii devices require a 256-bit security key for configuration bitstream encryption. to carry out secure configuration, follow these steps (refer to figure 9?29 ): 1. program the security key into the arria ii device. program the user-defined 256-bit aes keys to the arria ii device through the jtag interface. 2. encrypt the configuration file and store it in the external memory. encrypt the configuration file with the same 256-bit keys used to program the arria ii device. encryption of the configuration file is done using the quartus ii software. the encrypted configuration file is then loaded into the external memory, such as a configuration or flash device. 3. configure the arria ii device. at system power-up, the external memory device sends the encrypted configuration file to the arria ii device. figure 9?29. design security (note 1) note to figure 9?29 : (1) step 1, step 2, and step 3 correspond to the procedure described in ?design security? on page 9?61 . user-defined aes key key storage encrypted configuration file memory or configuration device arria ii fpga aes decryption step 1 step 2 step 3 chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?65 design security july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration security modes available the following security modes are available on the arria ii device: volatile key secure operation with volatile key programmed and required external battery?this mode accepts both encrypted and unencrypted configuration bitstreams. use the unencrypted configuration bitstream support for board-level testing only. non-volatile key secure operation with one-time-programmable (otp) security key programmed?this mode accepts both encrypted and unencrypted configuration bitstreams. use the unencrypted configuration bitstream support for board-level testing only. volatile key with tamper protection bit set 1 arria ii gz devices do not support this feature. secure operation in tamper resistant mode with volatile security key programmed?only encrypted configuration bitstreams are allowed to configure the device. tamper protection disables jtag configuration with unencrypted configuration bitstream. 1 enabling the tamper protection bit disables the test mode in arria ii devices. this process is irreversible and prevents altera from carry-out failure analysis. contact altera technical support to enable the tamper protection bit. non-volatile key with tamper protection bit set secure operation in tamper resistant mode with otp security key programmed?only encrypted configuration bitstreams are allowed to configure the device. tamper protection disables jtag configuration with unencrypted configuration bitstream. 1 enabling the tamper protection bit disables the test mode in arria ii devices. this process is irreversible and prevents altera from carry-out failure analysis. contact altera technical support to enable the tamper protection bit. 9?66 chapter 9: configuration, design security, and remote system upgrades in arria ii devices design security arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation no key operation only unencrypted configuration bitstreams are allowed to configure the device. ta b l e 9 ?2 5 lists the different security modes and configuration bitstream supported for each mode. supported configuration schemes the arria ii device supports only selected configuration schemes, depending on the security mode you select when you encrypt the arria ii device. figure 9?30 shows the restrictions of each security mode when encrypting arria ii devices. table 9?25. security modes supported mode (1) function configuration file volatile key secure encrypted board-level testing unencrypted non-volatile key secure encrypted board-level testing unencrypted volatile key with tamper protection bit set (2) secure (tamper resistant) (3) encrypted non-volatile key with tamper protection bit set secure (tamper resistant) (3) encrypted notes to table 9?25 : (1) in no key operation, only the unencrypted configuration file is supported. (2) arria ii gz devices do not support this feature. (3) the tamper protection bit setting does not prevent the device from being reconfigured. figure 9?30. arria ii security modes?sequence and restrictions notes to figure 9?30 : (1) arria ii gz devices do not support this feature. (2) arria ii devices do not accept encrypted configuration files if the volatile key is erased. if the volatile key is erased, yo u must use the volatile key without the tamper-protection bit set to reprogram the key. volatile key unencrypted or encrypted configuration file no key non-volatile key unencrypted or encrypted configuration file non-volatile key with tamper-protection bit set encrypted configuration file volatile key with tamper-protection bit set (1) encrypted configuration file unencrypted configuration file encrypted configuration file (2) chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?67 design security july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 9 ?2 6 lists the configuration modes allowed in each of the security modes. 1 the design security feature is available in all configuration methods except jtag. therefore, you can use the design security feature in fpp mode (when using an external controller, such as a max ii device or a microprocessor and flash memory), or in as, fast as, and ps configuration schemes. table 9?26. allowed configuration modes for various security modes (note 1) security mode configuration file allowed configuration modes no key unencrypted all configuration modes that do not engage the design security feature. secure with volatile key encrypted note to table 9?26 : (1) there is no impact to the configuration time required when compared with unencrypted configuration modes except when using f pp with aes (and/or decompression), which requires dclk that is x4 the data rate. 9?68 chapter 9: configuration, design security, and remote system upgrades in arria ii devices design security arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation ta b l e 9 ?2 7 lists the configuration schemes that support the design security feature both for volatile key and non-volatile key programming. you can use the design security feature with other configuration features, such as the compression and remote system upgrade features. when you use compression with the design security feature, the configuration file is first compressed and then encrypted using the quartus ii software. during configuration, the arria ii device first decrypts and then decompresses the configuration file. table 9?27. design security configuration schemes availability configuration scheme configuration method design security fpp max ii device or microprocessor and flash memory v (1) as serial configuration device v fast as serial configuration device v ps max ii device or microprocessor and flash memory v download cable v jtag (2) max ii device or microprocessor and flash memory ? download cable ? notes to table 9?27 : (1) in this mode, the host system must send a dclk that is x4 the data rate. (2) jtag configuration supports only unencrypted configuration file. chapter 9: configuration, design security, and remote system upgrades in arria ii devices 9?69 document revision history july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration document revision history ta b l e 9 ?2 8 lists the revision history for this chapter. table 9?28. document revision history date version changes made july 2012 4.3 updated ?fpp configuration using a max ii device as an external host? section. added pull-up resistor to nconfig in figure 9?1 , figure 9?2, figure 9?3 , fi gure 9?10 , figure 9?11 , and figure 9?12 . december 2011 4.2 updated table 9?8, table 9?9, table 9?10, and table 9?12. updated figure 9?16 and figure 9?17. updated ?configuration? and ?fpp configuration using a max ii device as an external host? sections. minor text edits. june 2011 4.1 updated table 9?9, table 9?10, table 9?12, table 9?18, and table 9?23. updated the ?programming serial configuration devices? and ?configuration data decompression? sections. removed references to the ?byteblaster mv? and ?masterblaster? cables as they ar e dis continued. minor text edits. december 2010 4.0 updated for the quartus ii software version 10.1 release. added arria ii gz devices information. minor text edits. july 2010 3.0 updated for arria ii gx v10.0 release: updated table 9?9 and table 9?17. updated figure 9?4, figure 9?5, figure 9?13, figure 9?16, figure 9?17, figure 9?21, and figure 9?30. updated ?as and fast as configuration (serial configuration devices)? and ?flexibl e s ecurity key storage? sections. added ?guidelines for connecting serial configuration device to arria ii devices on a n active serial interface? section. minor text edits. november 2009 2.0 updated for arria ii gx v9.1 release: updated table 9?3, table 9?10, table 9?11, table 9?13. updated figure 9?2, figure 9?3, and figure 9?6. updated ?vccpd pins?, ?jtag configuration?, ?remote system upgrade mode?, ?remote system upgrade state machine?, ?user watchdog timer? sections. minor text edits. june 2009 1.1 updated table 9?2, table 9?3, table 9?9, table 9?10, table 9?19, and table 9?21. updated figure 9?6, figure 9?11, and figure 9?16. updated ?vccio pins for i/o banks 3c and 8c?, ?fpp configuration using an external host?, and ?programming serial configuration devices? sections. removed ?volatite or non-volatile key with jtag anti-tamper protection bit set? section. february 2009 1.0 initial release. 9?70 chapter 9: configuration, design security, and remote system upgrades in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration july 2012 altera corporation arria ii device handbook volume 1: device interfaces and integration february 2014 aiigx51010-4.3 subscribe ? 2014 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 10. seu mitigation in arria ii devices this chapter describes how to activate and use the error detection cyclic redundancy check (crc) feature when your arria ? ii device is in user mode and how to recover from configuration errors caused by crc errors. in critical applications such as avionics, telecommunications, system control, and military applications, it is important to be able to do the following: confirm that the configuration data stored in an arria ii device is correct. alert the system to the occurrence of a configuration error. 1 the error detection crc feature is provided in the quartus ? ii software starting with version 9.1 for arria ii gx devices and version 10.1 for arria ii gz devices. using the error detection crc feature on arria ii devices has no impact on fitting or performance. f for more information about the crc feature, refer to an 539: test methodology of error detection and recovery using crc in altera fpga devices . this chapter contains the following sections: ?error detection fundamentals? ?configuration error detection? on page 10?2 ?user mode error detection? on page 10?2 ?error detection pin description? on page 10?5 ?error detection block? on page 10?5 ?error detection timing? on page 10?7 ?software support? on page 10?9 ?recovering from crc errors? on page 10?10 error detection fundamentals error detection determines if the data received through a medium is corrupted during transmission. to accomplish this, the transmitter uses a function to calculate a checksum value for the data and appends the checksum to the original data frame. the receiver uses the function to calculate a checksum for the received data frame and compares the received checksum to the transmitted checksum. if the two checksum values are equal, the received data frame is correct and no data corruption occurred during transmission or storage. the error detection crc feature uses the same concept. when arria ii devices are successfully configured and in user mode, the error detection crc feature ensures the integrity of the configuration data. february 2014 aiigx51010-4.3 10?2 chapter 10: seu mitigation in arria ii devices configuration error detection arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation configuration error detection in configuration mode, a frame-based crc is stored in the configuration data and contains the crc value for each data frame. during configuration, the arria ii device calculates the crc value based on the frame of data that is received and compares it against the frame crc value in the data stream. configuration continues until either the device detects an error or configuration is complete. in arria ii devices, the crc value is calculated during the configuration stage. a parallel crc engine generates 16 crc check bits per frame and then stores them into the configuration ram. the configuration ram chain used for storing crc check bits is 16 bits wide and its length is equal to the number of frames in the device. user mode error detection arria ii devices have built-in error detection circuitry to detect data corruption by soft errors in the configuration ram cells. this feature allows all configuration ram contents to be read and verified to match a configuration-computed crc value. soft errors are changes in a configuration ram?s bit state due to an ionizing particle. the error detection capability continuously calculates the crc of the configured configuration ram bits and compares it with the pre-calculated crc. if the crcs match, there is no error in the current configuration ram bits. the process of error detection continues until the device is reset by setting nconfig low. to enable the error detection process when the device transitions into user mode, turn on the enable error detection crc option on the error detection crc page of the device and pin options dialog box in the quartus ii software. a single 16-bit error detection crc calculation is done on a per-frame basis. after the error detection circuitry has finished the crc calculation for a frame, the resulting 16-bit signature is hex 0000 . if the error detection circuitry detects no configuration ram bit errors in a frame, the output signal crc_error is 0. if the circuitry detects a configuration ram bit error in a frame in the device, the resulting signature is non-zero and the error detection circuitry starts searching for the error bit location. the error detection circuitry in arria ii devices calculates crc check bits for each frame and pulls the crc_error pin high when it detects bit errors in the chip. within a frame, it can detect all single-bit, double-bit, and triple-bit errors. the probability of more than three configuration ram bits being flipped by a single event upset (seu) is very low. in general, the probability of detection for all error patterns is 99. 998%. the error detection circuitry reports the bit location and determines the type of error for all single-bit errors and over 99.641% of double-adjacent errors. the probability of other error patterns is very low and the reporting of bit location is not guaranteed. you can also read the error bit location through the jtag and the core interface. before the error detection circuitry detects the next error in another frame, you must shift erroneous bits out from the error message register (emr) with either the jtag instruction, shift_ederror_reg , or the core interface. the crc circuitry continues to run, and if an error is detected, you must decide whether to complete the reconfiguration or to ignore the crc error. chapter 10: seu mitigation in arria ii devices 10?3 user mode error detection february 2014 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 for more information about the timing requirement to shift out error information from the emr, refer to ?error detection timing? on page 10?7 . the error detection circuitry continues to calculate the crc_error and 16-bit signatures for the next frame of data regardless of whether an error has occurred in the current frame or not. you must monitor the crc_error signal and take the appropriate actions if a crc error occurs. the error detection circuitry in arria ii devices uses a 16-bit crc-ansi standard (16-bit polynomial) as the crc generator. the computed 16-bit crc signature for each frame is stored in the configuration ram. the total storage size is 16 (number of bits per frame) the number of frames. the crc_error signal is asserted if the error detection circuitry verification does not match with the configuration-computed crc value. however, the arria ii device error detection crc feature does not check the memory blocks and i/o buffers. therefore, the crc_error signal may stay solid high or low, depending on the error status of the previously checked configuration ram frame. the i/o buffers are not verified during error detection because these bits use flipflops as storage elements that are more resistant to soft errors when compared with configuration ram cells. mlab and m9k memory blocks support parity bits that are used to check the contents of the memory blocks for any error in arria ii gx devices. in addition to mlab and m9k memory blocks, m144k memory blocks are used to check the contents of the memory blocks for any error in arria ii gz devices. f for more information about error detection in arria ii memory blocks, refer to the memory blocks in arria ii devices chapter. to provide testing capability of the error detection block, a jtag instruction, ederror_inject , is provided. this instruction is able to change the content of the 21-bit jtag fault injection register used for error injection in arria ii devices, thereby enabling the testing of the error detection block. 1 you can only execute the ederror_inject jtag instruction when the device is in user mode. ta b l e 1 0? 1 lists the ederror_inject jtag instruction for arria ii devices. you can create a jam? file ( .jam ) to automate the testing and verification process. this allows you to verify the crc functionality in-system and on-the-fly, without having to reconfigure the device. f for more information about .jam , refer to an 539: test methodology of error detection and recovery using crc in altera fpga devices . table 10?1. ederror_inject jtag instruction for arria ii devices jtag instruction instruction code description ederror_inject 00 0001 0101 this instruction controls the 21-bit jtag fault injection register used for error injection. 10?4 chapter 10: seu mitigation in arria ii devices user mode error detection arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation you can introduce a single error or double errors adjacent to each other to the configuration memory. this provides an extra way to facilitate design verification and system fault tolerance characterization. use the jtag fault injection register with the ederror_inject jtag instruction to flip the readback bits. the arria ii device is then forced into error test mode. altera recommends reconfiguring the device after the test completes. 1 you can only introduce error injection in the first data frame, but you can monitor the error information at any time. for more information about the jtag fault injection register and fault injection register, refer to ?error detection registers? on page 10?6 . ta b l e 1 0? 2 lists how the fault injection register is implemented and describes error injection for arria ii devices. automated single event upset detection arria ii devices offer on-chip circuitry for automated seu detection. some applications require the device to operate error-free in high-neutron flux environments require periodic checks to ensure continued data integrity. the error detection crc feature ensures data reliability and is one of the best options for mitigating seu. you can implement the error detection crc feature with existing circuitry in arria ii devices, eliminating the need for external logic. the crc_error pin reports a crc error when configuration ram data is corrupted; you must decide whether to reconfigure the device or to ignore the error. table 10?2. fault injection register for arria ii devices description bit[20..19] bit[18..8] bit[7..0] error type (1) error injection type byte location of the injected error error byte value bit[20] bit[19] content 0 1 single error injection depicts the location of the injected error in the first data frame. depicts the location of the bit error and corresponds to the error injection type selection. 1 0 double-adjacent error injection 0 0 no error injection note to table 10?2 : (1) bit[20] and bit[19] cannot both be set to 1 , as this is not a valid selection. the error detection circuitry decodes this as no error injection. chapter 10: seu mitigation in arria ii devices 10?5 error detection pin description february 2014 altera corporation arria ii device handbook volume 1: device interfaces and integration error detection pin description ta b l e 1 0? 3 lists the crc_error pin description for arria ii devices. error detection block the error detection block contains the logic necessary to calculate the 16-bit error detection crc signature for the configuration ram bits in the arria ii device. the crc circuit continues running even if an error occurs. when a crc error occurs, the device sets the crc_error pin high. ta b l e 1 0? 4 lists the two types of crc detection that check the configuration bits for arria ii devices. 1 the ?error detection block? section focuses on the first type, the 16-bit crc only, when the device is in user mode. table 10?3. crc_error pin description for arria ii devices pin name pin type description crc_error i/o or output open-drain active high signal indicating that the error detection circuit has detected errors in the configuration ram bits. this is an optional pin and is used when you enable the error detection crc circuit. when you disable the error detection crc circuit, it is a user i/o pin. when using the wysiwyg function, the crc error output is a dedicated path to the crc_error pin. to use the crc_error pin, you can tie this pin to v ccio through a 10-k ? resistor. alternatively, depending on the input voltage specification of the system receiving the signal, tie this pin to a different pull-up voltage. table 10?4. two types of crc detection for arria ii devices user mode crc detection configuration crc detection this is the configuration ram error checking ability (16-bit error detection crc) during user mode for us e by t he crc_error pin. for each frame of data, the pre-calculated 16-bit error detection crc enters the crc circuit at the end of the frame data and determines whether there is an error or not. if an error occurs, the search engine finds the location of the error. the error messages can be shifted out through th e jt ag instruction or core interface logics while the error detection block continues running. the jtag interface reads out the 16-bit error detect ion cr c result for the first frame and also shifts the 16-bi t e rror detection crc bits to the 16-bit error detection crc storage registers for test purposes. you can deliberately introduce single error, double errors, or double-adjacent errors to the configuratio n memo ry for testing and design verification. this is the 16-bit configuration crc that is embedde d in ev ery configuration data frame. during configuration, after a frame of data is loaded into the arria ii device, the pre-computed configuration crc is shifted into the crc circuitry. at the same time, the configuration crc value for the data frame shifted-in is calculated. if the pre-computed configuration crc and calculated configuration crc values do not match, nstatus is set low. every data frame has a 16-bit configuration crc; therefore, there are many 16-bit configuration crc values for the whole configuratio n bi tstream as there are many data frames. every device ha s dif ferent lengths of the configuration data frame. 10?6 chapter 10: seu mitigation in arria ii devices error detection block arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation error detection registers there is one set of 16-bit registers in the error detection circuitry that stores the computed crc signature. a non-zero value on the syndrome register causes the crc_error pin to be set high. figure 10?1 shows the block diagram of the error detection circuitry, syndrome registers, and error injection block for arria ii devices. figure 10?1. error detection circuitry, syndrome registers, and error injection block for arria ii devices error detection state machine fault injection register jtag fault injection register error injection block control signals 16-bit crc calculation and error search engine readback bitstream with expected crc included syndrome register 8 16 crc_error jtag update register user update register error message register 46 30 jtag shift register user shift register general routing jtag tdo chapter 10: seu mitigation in arria ii devices 10?7 error detection timing february 2014 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 1 0? 5 lists the registers shown in figure 10?1 . error detection timing when you enable the error detection crc feature through the quartus ii software, the device automatically activates the crc error detection process after entering user mode, after configuration, and after initialization is complete. if an error is detected within a frame, crc_error is driven high at the end of the error location search, after the emr is updated. at the end of this cycle, the crc_error pin is pulled low for a minimum of 32 clock cycles. if the next frame contains an error, crc_error is driven high again after the emr is overwritten by the new value. you can start to unload the error message on each rising edge of the crc_error pin. error detection runs until the device is reset. the error detection circuitry runs off an internal configuration oscillator with a divisor that sets the maximum frequency. table 10?6 lists the minimum and maximum error detection frequencies for arria ii devices. table 10?5. error detection registers for arria ii devices register description syndrome register this register contains the crc signature of the current frame through the error detection verification cycle. the crc_error signal is derived from the contents of this register. error message register this 46-bit register contains information on the error type, location of the error, and the actual syndrome. the types of errors and location reported are single- and double-adjacent bit errors. the location bits for other types of errors are not identified by the emr. the content of the register is shifted out through the shift_ederror_reg jtag instruction or to the core through the core interface. jtag update register this register is automatically updated with the contents of the emr one cycle after the 46-bit register content is validated. it includes a clock enable, which must be asserted prior to being sampled into the jtag shift register. this requirement ensures that the jtag update register is not being written into by the contents of the emr at the same time that the jtag shift register is reading its contents. user update register this register is automatically updated with the contents of the emr one cycle after the 46-bit register content is validated. it includes a clock enable, which must be asserted prior to being sampled into the user shift register. this requirement ensures that the user update register is not being written into by the contents of the emr at the same time that the user shift register is reading its contents. jtag shift register this register is accessible by the jtag interface and allows the contents of the jtag update register to be sampled and read out by shift_ederror_reg jtag instruction. user shift register this register is accessible by the core logic and allows the contents of the user update register to be sampled and read by user logic. jtag fault injection register this 21-bit register is fully controlled by the ederror_inject jtag instruction. this register holds the information of the error injection that you want in the bitstream. fault injection register the content of the jtag fault injection register is loaded into this 21-bit register when it is updated. table 10?6. minimum and maximum error detection frequencies for arria ii devices device type error detection frequency maximum error detection frequency minimum error detection frequency valid divisors (n) arria ii 100 mhz / 2 n 50 mhz 390 khz 1, 2, 3, 4, 5, 6, 7, 8 10?8 chapter 10: seu mitigation in arria ii devices error detection timing arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation you can set a lower clock frequency by specifying a division factor in the quartus ii software (refer to ?software support? on page 10?9 ). the divisor is a power of two (2), where n is between 1 and 8. the divisor ranges from 2 through 256 (refer to equation 10?1 ). 1 the error detection frequency reflects the frequency of the error detection process for a frame because the crc calculation in arria ii devices is done on a per-frame basis. the emr is updated whenever an error occurs. if the error location and message are not shifted out before the next error location is found, the previous error location and message are overwritten by the new information. to avoid this, you must shift these bits out within one frame crc verification. the minimum interval time between each update for the emr depends on the device and the error detection clock frequency. however, slowing down the error detection clock frequency slows down the error recovery time for the seu event. ta b l e 1 0? 7 lists the estimated minimum interval time between each update for the emr in arria ii devices. the crc calculation time for the error detection circuitry to check from the first until the last frame depends on the device and the error detection clock frequency. equation 10?1. table 10?7. minimum update interval for error message register in arria ii devices device timing interval ( ? s) ep2agx45 11.04 ep2agx65 11.04 ep2agx95 14.88 EP2AGX125 14.88 ep2agx190 19.64 ep2agx260 19.64 ep2agz225 19.8 ep2agz300 21.8 ep2agz350 21.8 error detection frequency 100mhz 2 n ---------------------- - = chapter 10: seu mitigation in arria ii devices 10?9 software support february 2014 altera corporation arria ii device handbook volume 1: device interfaces and integration ta b l e 1 0? 8 lists the minimum and maximum estimated clock frequency time for each crc calculation for arria ii devices. the minimum crc calculation time is calculated using the maximum error detection frequency with a divisor factor 1. the maximum crc calculation time is calculated using the minimum error detection frequency with a divisor factor 8. software support the quartus ii software, starting with version 9.1 supports the error detection crc feature for arria ii gx devices and starting with version 10.1 supports the error detection crc feature for arria ii gz devices. enabling this feature in the device and pin options dialog box generates the crc_error output to the optional dual-purpose crc_error pin. to enable the error detection feature using the crc, follow these steps: 1. open the quartus ii software and load a project using an arria ii device. 2. on the assignments menu, click device . the device dialog box appears. 3. click device and pin options . the device and pin options dialog box appears. 4. in the category list, select error detection crc tab. 5. turn on enable error detection crc . 6. in the divide error check frequency by pull-down list, enter a valid divisor as listed in table 10?6 on page 10?7 . 7. click ok . table 10?8. crc calculation time for arria ii devices device minimum time (ms) maximum time (s) ep2agx45 73.80 20.40 ep2agx65 73.80 20.40 ep2agx95 125.80 34.80 EP2AGX125 125.80 34.80 ep2agx190 216.00 59.90 ep2agx260 216.00 59.90 ep2agz225 225 62.44 ep2agz300 296 82.05 ep2agz350 296 82.05 10?10 chapter 10: seu mitigation in arria ii devices recovering from crc errors arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation recovering from crc errors the system that the arria ii device resides in must control device reconfiguration. after detecting an error on the crc_error pin, strobing the nconfig signal low directs the system to perform the reconfiguration at a time when it is safe for the system to reconfigure the device. when the data bit is rewritten with the correct value by reconfiguring the device, the device functions correctly. while soft errors are uncommon in altera ? devices, certain high-reliability applications require a design to account for these errors. document revision history ta b l e 1 0? 9 lists the revision history for this chapter. table 10?9. document revision history date version changes february 2014 4.3 updated the minimum crc calculati on time for ep2agx45, ep2agx65, ep2agx95, EP2AGX125, ep2agx190, and ep2agx260 in table 10?8 . july 2012 4.2 removed repeated paragraph in the ?user mode error detection? section. june 2011 4.1 updated ?user mode error detection? section. minor text edits. december 2010 4.0 updated for the quartus ii software version 10.1 release. added arria ii gz devices information. minor text edits. july 2010 3.0 updated for arria ii gx v10.0 release: updated table 10?3, table 10?6, table 10?7, and table 10?8. november 2009 2.0 updated for arria ii gx v9.1 release: updated table 10?7 and table 10?8. minor text edits. february 2009 1.0 initial release. arria ii device handbook volume 1: device interfaces and integration december 2013 aiigx51011-4.1 subscribe ? 2013 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 11. jtag boundary-scan testing in arria ii devices this chapter describes the boundary-scan test (bst) features that are supported in arria ? ii devices and how to use the ieee std. 1149.1 and std. 1149.6 bst circuitries in arria ii devices. the features are similar to arria gx devices, unless stated in this chapter. this chapter includes the following sections: tdo output pin and all jtag input pins are powered by the v ccio power supply of i/o bank 8c, while for arria ii gz devices, the tdo output pin and all the jtag input pins are powered by 2.5-v/3.0-v v ccpd supply of i/o bank 1a. all user i/o pins are tri-stated during jtag configuration. f for more information about the ieee std. 1149.1 bst architecture, bst circuitry, and boundary-scan register for arria ii devices, refer to the ieee 1149.1 (jtag) boundary-scan testing for arria gx devices chapter in volume 2 of the arria gx device handbook . ieee std. 1149.6 boundary-scan register for arria ii gx devices the boundary-scan cell (bsc) for hssi transmitters ( gxb_tx[p,n] ) and receivers/input clock buffer ( gxb_rx[p,n] )/( refclk[0..7] ) in arria ii gx devices are different from the bscs for i/o pins. december 2013 aiigx51011-4.1 11?2 chapter 11: jtag boundary-scan testing in arria ii devices bst architecture for arria ii devices arria ii device handbook volume 1: device interfaces and integration december 2013 altera corporation figure 11?1 shows the arria ii gx hssi transmitter boundary-scan cell. figure 11?1. hssi transmitter bsc with ieee std. 1149.6 bst circuitry for arria ii gx devices mem_init sdin shift 0 1 0 1 0 1 0 1 0 1 dq dq clk sdout update mode ac_test capture update registers bstx1 pad pad tx output buffer dq dq dq dq highz bscan pma 0 1 bs0eb tx_buf_oe acjtag_buf_oe morhz oe logic bstx0 oe oe noe mission (dataout) ac jtag output buffer ac jtag output buffer ac_mode chapter 11: jtag boundary-scan testing in arria ii devices 11?3 bst operation control december 2013 altera corporation arria ii device handbook volume 1: device interfaces and integration figure 11?2 shows the arria ii gx hssi receiver/input clock buffer bsc. bst operation control table 11?1 lists the boundary-scan register length for arria ii devices. figure 11?2. hssi receiver/input clock buffer bsc with ieee std. 1149.6 bst circuitry for arria ii gx devices highz sdin shift dq dq clk sdout update mode ac_test capture update registers bsrx1 bsout1 bsrx0 bsout0 mem_init ac_mode hysteretic memory ac jtag test receiver mission (datain) optional intest/runbist not supported pad pad rx input buffer ac jtag test receiver hysteretic memory bscan pma 1 0 1 0 table 11?1. boundary-scan register length for arria ii devices device boundary-scan register length ep2agx45 1,227 ep2agx65 1,227 ep2agx95 1,467 EP2AGX125 1,467 ep2agx190 1,971 ep2agx260 1,971 ep2agz225 2,274 ep2agz300 2,682 ep2agz350 2,682 11?4 chapter 11: jtag boundary-scan testing in arria ii devices bst operation control arria ii device handbook volume 1: device interfaces and integration december 2013 altera corporation table 11?2 lists the idcode information for arria ii devices. 1 if the device is in the reset state, when the nconfig or nstatus signal is low, the device idcode might not be read correctly. to read the device idcode correctly, you must issue the idcode jtag instruction only when the nstatus signal is high. f for information about jtag instructions, tap controller state machine, timing requirements, and how to select the instruction mode, refer to ?ieee std. 1149.1 bst operation control? in the ieee 1149.1 (jtag) boundary-scan testing for arria gx devices chapter in volume 2 of the arria gx device handbook . for arria ii gx devices, ieee std.1149.6 mandates the addition of two new instructions: extest_pulse and extest_train . these two instructions enable edge-detecting behavior on the signal path containing the hssi pins. these instructions implement new test behaviors for hssi pins and simultaneously behave identically to the ieee std. 1149.1 extest instruction for non-hssi pins. extest_pulse instruction mode the instruction code for extest_pulse is 0010001111. the extest_pulse instruction generates three output transitions: tck in update_ir/dr. tck after entering the run_test / idle state. tck after leaving the run_test / idle state. 1 if you use dc-coupling on the hssi signals, you must execute the extest instruction. if you use ac-coupling on the hssi signals, you must execute the extest_pulse instruction. ac-coupled and dc-coupled hssi are only supported in post-configuration mode. table 11?2. 32-bit idcode for arria ii devices device idcode (32 bits) (1) version (4 bits) part number (16 bits) manufacturer identity (11 bits) lsb (1 bit) (2) ep2agx45 0000 0010 0101 0001 0010 000 0110 1110 1 ep2agx65 0000 0010 0101 0000 0010 000 0110 1110 1 ep2agx95 0000 0010 0101 0001 0011 000 0110 1110 1 EP2AGX125 0000 0010 0101 0000 0011 000 0110 1110 1 ep2agx190 0000 0010 0101 0001 0100 000 0110 1110 1 ep2agx260 0000 0010 0101 0000 0100 000 0110 1110 1 ep2agz225 0000 0010 0100 1000 0001 000 0110 1110 1 ep2agz300 0000 0010 0100 0000 1010 000 0110 1110 1 ep2agz350 0000 0010 0100 1000 0010 000 0110 1110 1 notes to table 11?2 : (1) the msb is on the left. (2) the idcode lsb is always 1. chapter 11: jtag boundary-scan testing in arria ii devices 11?5 i/o voltage support in a jtag chain december 2013 altera corporation arria ii device handbook volume 1: device interfaces and integration extest_train instruction mode the instruction code for extest_train is 0001001111. the extest_train instruction behaves like the extest_pulse instruction with one exception: the output continues to toggle on the tck falling edge as long as the tap controller is in the run_test / idle state. 1 these two instruction codes are only supported in post-configuration mode for arria ii gx devices. 1 you must not use the following private instructions as invoking such instructions potentially damage the device, rendering the device useless: tdo pin must meet the specification of the tdi pin it drives. table 11?3 and table 11?4 show board design recommendations to ensure proper jtag chain operation. table 11?3. supported tdo/tdi voltage combinations for arria ii gx devices (part 1 of 2) device tdi input buffer power arria ii gx tdo v ccio voltage level in i/o bank 8c v ccio = 3.3 v (1) v ccio = 3.0 v (1) v ccio = 2.5 v (2) v ccio = 1.8 v v ccio = 1.5 v arria ii gx v ccio = 3.3 v vvv v (3) level shifter required v ccio = 3.0 v vvv v (3) level shifter required v ccio = 2.5 v vvvv (3) level shifter required v ccio = 1.8 v vvvv (3) level shifter required v ccio = 1.5 v vvv v (3) v 11?6 chapter 11: jtag boundary-scan testing in arria ii devices disabling ieee std. 1149.1 bst circuitry arria ii device handbook volume 1: device interfaces and integration december 2013 altera corporation f for more information about i/o voltage support in the jtag chain, refer to the ?i/o voltage support in jtag chain? in the ieee 1149.1 (jtag) boundary-scan testing for arria gx devices chapter in volume 2 of the arria gx device handbook . disabling ieee std. 1149.1 bst circuitry the ieee std. 1149.1 bst circuitry for arria ii devices is enabled after device power up. because the ieee std. 1149.1 bst circuitry is used for bst or in-circuit reconfiguration, you must enable the circuitry only at specific times as mentioned in ?ieee std. 1149.1 bst circuitry? in the ieee 1149.1 (jtag) boundary-scan testing for arria gx devices chapter in volume 2 of the arria gx device handbook . non-arria ii gx v cc = 3.3 v vvv v (3) level shifter required v cc = 2.5 v v (4) v (4) vv (3) level shifter required v cc = 1.8 v v (4) v (4) v (5) v level shifter required v cc = 1.5 v v (4) v (4) v (5) v (6) v notes to table 11?3 : (1) the tdo output buffer meets v oh (min) = 2.4 v. (2) the tdo output buffer meets v oh (min) = 2.0 v. (3) an external 250- ? (1) v cc pd = 2.5 v (2) arria ii gz v ccpd = 3.0 v vv v ccpd = 2.5 v vv non-arria ii gz v cc = 3.3 v v v v cc = 2.5 v v (3) v v cc = 1.8 v v (3) v (4) v cc = 1.5 v v (3) v (4) notes to table 11?4 : (1) the tdo output buffer meets v oh (min) = 2.4 v. (2) the tdo output buffer meets v oh (min) = 2.0 v. (3) the input buffer must be 3.0-v tolerant. (4) the input buffer must be 2.5-v tolerant. table 11?3. supported tdo/tdi voltage combinations for arria ii gx devices (part 2 of 2) device tdi input buffer power arria ii gx tdo v ccio voltage level in i/o bank 8c v ccio = 3.3 v (1) v ccio = 3.0 v (1) v ccio = 2.5 v (2) v ccio = 1.8 v v ccio = 1.5 v chapter 11: jtag boundary-scan testing in arria ii devices 11?7 boundary-scan description language support december 2013 altera corporation arria ii device handbook volume 1: device interfaces and integration 1 if you do not use the ieee std. 1149.1 circuitry in arria ii devices, permanently disable the circuitry to ensure that you do not inadvertently enable it when it is not required. table 11?5 lists the pin connections necessary for disabling the ieee std. 1149.1 circuitry in arria ii devices. boundary-scan description language support the boundary-scan description language (bsdl), a subset of vhdl, provides a syntax that allows you to describe the features of an ieee std. 1149.6 bst-capable device that can be tested. you can test software development systems, then use the bsdl files for test generation, analysis, and failure diagnostics. f for more information about bsdl files for ieee std. 1149.6-compliant arria ii gx devices, refer to the ieee 1149.6 bsdl files page on the altera ? website. f for more information about bsdl files for ieee std. 1149.1-compliant arria ii gz devices, refer to the ieee 1149.1 bsdl files page on the altera website. f you can also generate bsdl files (pre-configuration and post-configuration) for arria ii devices with the quartus ? ii software version 9.1 and later. for the procedure to generate bsdl files using the quartus ii software, refer to generating bsdl files in quartus ii . table 11?5. pin connections necessary for disabling ieee std. 1149.1 circuitry for arria ii devices jtag pins connection for disabling arria ii gx devices arria ii gz devices tms v cc supply of bank 8c v ccpd supply of bank 1a tck gnd tdi v cc supply of bank 8c v ccpd supply of bank 1a tdo leave open trst not available gnd 11?8 chapter 11: jtag boundary-scan testing in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration december 2013 altera corporation document revision history table 11?6 lists the revision history for this document. table 11?6. document revision history date version changes december 2013 4.1 updated the ?extest_pulse instruction mode? section. december 2010 4.0 arria ii device handbook volume 1: device interfaces and integration june 2011 aiigx51012-3.1 subscribe ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megacore, nios, quartus and stratix are reg. u.s. pat. & tm. off. and/or trademarks of altera corporation in the u.s. and other countries. all other trademarks and service marks are the propert y of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance with altera?s standard warr anty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advi sed to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 12. power management in arria ii devices this chapter describes the static and dynamic power of arria ? ii devices. static power is the power consumed by the fpga when it is configured, but no clocks are operating. dynamic power is composed of switching power when the device is configured and running. the powerplay power analyzer in the quartus ? ii software optimizes all designs with arria ii power technology to ensure performance is met at the lowest power consumption. this automatic process allows you to concentrate on the functionality of your design instead of the power consumption of your design. f for more information about using the powerplay power analyzer in the quartus ii software, refer to the power estimation and power analysis section in volume 3 of the quartus ii handbook . this chapter includes the following sections: f for more information about the arria ii external power supply requirements and the power supply pin connections, refer to the following: june 2011 aiigx51012-3.1 12?2 chapter 12: power management in arria ii devices hot socketing arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation the por circuitry monitors v cc , v ccaux , v cccb , v ccpgm , and v ccpd supplies in arria ii gz devices. the por circuitry keeps the arria ii gz devices in reset state until the power supply outputs are within operating range (provided that the v cc powers up fully before v ccaux ). por circuitry is important to ensure that all the circuits in the arria ii device are at certain known states during power up. you can select the por signal pulse width between fast por time or standard por time using the msel pin settings. for fast por time, the por signal pulse width is set to 4 ms for the power supplies to ramp up to full rail. for standard por time, the por signal pulse width is set to 100 ms for the power supplies to ramp up to full rail. in both cases, you can extend the por time with an external component to assert the nstatus pin low. f for more information about the por specification, refer to the device datasheet for arria ii devices chapter. f for more information about msel pin settings, refer to the configuration, design security, and remote system upgrades in arria ii devices chapter. hot socketing arria ii i/o pins are hot-socketing compliant without the need for external components or special design requirements. hot-socketing support in arria ii devices has the following advantages: chapter 12: power management in arria ii devices 12?3 hot socketing june 2011 altera corporation arria ii device handbook volume 1: device interfaces and integration insertion or removal of an arria ii device from a powered-up system devices that do not support hot socketing can short power supplies when powered up through the device signal pins. this irregular power up can damage both the driving and driven devices and can disrupt card power up. an arria ii device may be inserted into or removed from a powered up system board without damaging or interfering with system-board operation. for arria ii gx devices, you can power up or power down the v ccio , v cc , and v ccpd supplies in any sequence and at any time between them. for arria ii gz devices, you can power up or power down the v cc , v ccio , v ccpd , and v ccpgm supplies in any sequence (provided that the v cc powers up fully before v ccaux ). f for more information about the hot-socketing specification, refer to the device datasheet for arria ii devices chapter and the hot-socketing and power-sequencing feature and testing for altera devices white paper. hot-socketing feature implementation arria ii devices are immune to latch-up when using the hot-socketing feature. the hot-socketing feature turns off the output buffer during power up and power down of the v cc , v ccio , or v ccpd power supplies for arria ii gx devices. hot-socketing circuitry generates an internal hotsckt signal when the v cc , v ccio , or v ccpd power supplies for arria ii gx devices are below the threshold voltage. to support the startup current as reported by the powerplay early power estimator (epe) for arria ii gx devices, fully power v cc before v cccb begins to ramp. the hot-socketing feature turns off the output buffer during power up and power down of the v cc , v ccio , v ccpd , and v ccpgm power supplies for arria ii gz devices. to support the power-up sequence for all arria ii gz devices, fully power v cc before v ccaux begins to ramp. hot-socketing circuitry is designed to prevent excess i/o leakage during power up. when the voltage ramps up very slowly, it is still relatively low, even after the por signal is released and the configuration is completed. the conf_done , nceo , and nstatus pins fail to respond, as the output buffer cannot flip from the state set by the hot-socketing circuit at this low voltage. therefore, the hot-socketing circuit is removed on these configuration pins to ensure that they are able to operate during configuration. thus, it is the expected behavior for these pins to drive out during power-up and power-down sequences. 1 altera uses gnd as reference for the hot-socketing operation and i/o buffer designs. to ensure proper operation, altera recommends connecting the gnd between boards before connecting to the power supplies. this prevents the gnd on your board from being pulled up inadvertently by a path to power through other components on your board. a pulled up gnd can otherwise cause an out-of-specification i/o voltage or current condition with the altera ? device. 12?4 chapter 12: power management in arria ii devices document revision history arria ii device handbook volume 1: device interfaces and integration june 2011 altera corporation document revision history ta b l e 1 2? 1 lists the revision history for this chapter. table 12?1. document revision history date version changes june 2011 3.1 removed table 1-2. updated ?insertion or removal of an arria ii device from a powered-up system? and ?hot-socketing feature implementation? sections. minor text edits. december 2010 3.0 updated for the quartus ii software version 10.1 release. added arria ii gz devices information. minor text edits. july 2010 2.0 updated ?power-on reset circuitry? section for the arria ii gx v10.0 release. june 2009 1.1 ? february 2009 1.0 initial release. february 2014 altera co rporation arria ii device handbook volume 1: device interfaces and integration additional information this chapter provides additional information about the document and altera. about this handbook this handbook provides comprehensive information about the arria ? ii devices. how to contact altera to locate the most up-to-date information about altera products, refer to the following table. typographic conventions the following table shows the typographic conventions this document uses. contact (1) contact method address technical support website www.altera.com/support technical training website www.altera.com/training email custrain@altera.com product literature website www.altera.com/literature non-technical support (general) email nacomp@altera.com (software licensing) email authorization@altera.com note to table: (1) you can also contact your local altera sales office or sales representative. visual cue meaning bold type with initial capital letters indicate command names, dialog box titles, dialog box options, and other gui labels. for example, save as dialog box. for gui elements, capitalization matches the gui. bold type indicates directory names, project names, disk drive names, file names, file name extensions, software utility names, and gui labels. for example, \qdesigns directory, d: drive, and chiptrip.gdf file. italic type with initial capital letters indicate document titles. for example, stratix iv design g u idelines . italic type indicates variables. for example, n + 1. variable names are enclosed in angle brackets (< >). for example, info?2 additional information typographic conventions arria ii device handbook volume 1: device interfaces and integration february 2014 altera corporation courier type indicates signal, port, register, bit, block, and primitive names. for example, data1 , tdi , and input . the suffix n denotes an active-low signal. for example, resetn . indicates command line commands and anything that must be typed exactly as it appears. for example, c:\qdesigns\tutorial\chiptrip.gdf . also indicates sections of an actual file, such as a report file, references to parts of files (for example, the ahdl keyword subdesign ), and logic function names (for example, tri ). r a n angled arrow instructs you to press the enter key. 1., 2., 3., and a., b., c., and so on numbered steps indicate a list of items when the sequence of the items is important, such as the steps listed in a procedure. bullets indicate a list of items when the sequence of the items is not important. 1 the hand points to information that requires special attention. h a question mark directs you to a software help system with related information. f the feet direct you to another document or website with related information. c a caution calls attention to a condition or possible situation that can damage or destroy the product or your work. w a warning calls attention to a condition or possible situation that can cause you injury. the envelope links to the email subscription management center page of the altera website, where you can sign up to receive update notifications for altera documents. visual cue meaning |
Price & Availability of EP2AGX125
![]() |
|
|
All Rights Reserved © IC-ON-LINE 2003 - 2022 |
[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy] |
Mirror Sites : [www.datasheet.hk]
[www.maxim4u.com] [www.ic-on-line.cn]
[www.ic-on-line.com] [www.ic-on-line.net]
[www.alldatasheet.com.cn]
[www.gdcy.com]
[www.gdcy.net] |