Part Number Hot Search : 
WSSU3 MIP531 116CR 3BGXC 015020 2N6033 F25L32 015020
Product Description
Full Text Search
 

To Download MC7445ARX1000LF Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  the mpc7455 and mpc7445 are implementations of the powerpc? microprocessor family of reduced instruction set computer (risc) microprocessors. this document is primarily concerned with the mpc7455; however, unless otherwise noted, all information here also applies to the mpc7445. this document describes pertinent electrical and physical characteristics of the mpc7455. for functional characteristics of the processor, refer to the mpc7450 risc microprocessor family user?s manual . this document contains the following topics: to p i c p a ge section 1.1, ?overview? 1 section 1.2, ?features? 2 section 1.3, ?comparison with the mpc7400, mpc7410, mpc7450, mpc7451, and mpc7441? 7 section 1.4, ?general parameters? 10 section 1.5, ?electrical and thermal characteristics? 10 section 1.6, ?pin assignments? 33 section 1.7, ?pinout listings? 35 section 1.8, ?package description? 41 section 1.9, ?system design information? 47 section 1.10, ?document revision history? 60 section 1.11, ?ordering information? 62 to locate any published updates for this document, refer to the website at http://www.motorola.com/semiconductors. 1.1 overview the mpc7455 is the third implementation of the fourth generation (g4) microprocessors from motorola. the mpc7455 implements the full powerpc 32-bit architecture and is targeted at networking and computing systems applications. the mpc7455 consists of a processor core, a 256-kbyte l2, and an internal l3 tag and controller which support a glueless backside l3 cache through a dedicated high-bandwidth interface. the mpc7445 is identical to the mpc7455 except it does not support the l3 cache interface. advance information mpc7455ec rev. 4, 9/2003 mpc7455 risc microprocessor hardware specifications f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
2 mpc7455 risc microprocessor hardware specifications motorola features figure 1 shows a block diagram of the mpc7455. the core is a high-performance superscalar design supporting a double-precision floating-point unit and a simd multimedia unit. the memory storage subsystem supports the mpx bus protocol and a subset of the 60x bus protocol to main memory and other system resources. the l3 interface supports 1 or 2 mbytes of external sram for l3 cache data. note that the mpc7455 is footprint-compatible with the mpc7450 and mpc7451, and the mpc7445 is footprint-compatible with the mpc7441. 1.2 features this section summarizes features of the mpc7455 implementation of the powerpc architecture. major features of the mpc7455 are as follows:  high-performance, superscalar microprocessor ? as many as four instructions can be fetched from the instruction cache at a time ? as many as three instructions can be dispatched to the issue queues at a time ? as many as 12 instructions can be in the instruction queue (iq) ? as many as 16 instructions can be at some stage of execution simultaneously ? single-cycle execution for most instructions ? one instruction per clock cycle throughput for most instructions ? seven-stage pipeline control  eleven independent execution units and three register files ? branch processing unit (bpu) features static and dynamic branch prediction ? 128-entry (32-set, four-way set-associative) branch target instruction cache (btic), a cache of branch instructions that have been encountered in branch/loop code sequences. if a target instruction is in the btic, it is fetched into the instruction queue a cycle sooner than it can be made available from the instruction cache. typically, a fetch that hits the btic provides the first four instructions in the target stream. ? 2048-entry branch history table (bht) with two bits per entry for four levels of prediction?not-taken, strongly not-taken, taken, and strongly taken ? up to three outstanding speculative branches ? branch instructions that do not update the count register (ctr) or link register (lr) are often removed from the instruction stream. ? eight-entry link register stack to predict the target address of branch conditional to link register ( bclr ) instructions ? four integer units (ius) that share 32 gprs for integer operands ? three identical ius (iu1a, iu1b, and iu1c) can execute all integer instructions except multiply, divide, and move to/from special-purpose register instructions ? iu2 executes miscellaneous instructions including the cr logical operations, integer multiplication and division instructions, and move to/from special-purpose register instructions f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 3 features figure 1. mpc7455 block diagram + integer reservation station unit 2 + integer reservation station unit 2 additional features ? time base counter/decrementer  clock multiplier  jtag/cop interface  thermal/power management  performance monitor + + x fpscr fpscr pa +x instruction unit instruction queue (12-word) 96-bit (3 instructions) reservation integer 128-bit (4 instructions) 32-bit floating- point unit 64-bit reservation load/store unit (ea calculation) finished 32-bit completion unit completion queue (16-entry) tags 32-kbyte d cache l3 cache controller system bus interface 36-bit address bus 64-bit data bus 18-bit 64-bit data integer stations (2) reservation station reservation stations (2) fpr file 16 rename buffers stations (2-entry) gpr file 16 rename buffers reservation station vr file 16 rename buffers 64-bit 128-bit 128-bit completes up to three instructions per clock completed instruction mmu srs (shadow) 128-entry ibat array itlb ta g s 32-kbyte i cache stores stores load miss vector to u c h queue (3) vr issue fpr issue branch processing unit ctr lr btic (128-entry) bht (2048-entry) fetcher gpr issue (6-entry/3-issue) (4-entry/2-issue) (2-entry/1-issue) dispatch unit 256-kbyte unified l2 cache/cache controller data mmu srs (original) 128-entry dbat array dtlb vector touch engine 32-bit ea l1 castout status l2 store queue (l2sq) external sram l3cr (8-bit parity) address vector fpu reservation station reservation station reservation station vector integer unit 1 vector integer unit 2 vector permute unit line status ta g s bus accumulator ta g s block 0 (32-byte) status block 1 (32-byte) block 0/1 line memory subsystem l1 load queue (llq) l1 load miss (5) cacheable store instruction fetch (2) request (1) l1 service queues snoop push/ interventions l1 store queue l1 castouts push castout queue bus store queue l2 prefetch (3) bus accumulator (1 or 2 mbytes) (lsq) l1 push (4) (9) unit 2 unit 1 not in mpc7445 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
4 mpc7455 risc microprocessor hardware specifications motorola features ? five-stage fpu and a 32-entry fpr file ? fully ieee 754-1985-compliant fpu for both single- and double-precision operations ? supports non-ieee mode for time-critical operations ? hardware support for denormalized numbers ? thirty-two 64-bit fprs for single- or double-precision operands ? four vector units and 32-entry vector register file (vrs) ? vector permute unit (vpu) ? vector integer unit 1 (viu1) handles short-latency altivec? integer instructions, such as vector add instructions ( vaddsbs , vaddshs , and vaddsws , for example) ? vector integer unit 2 (viu2) handles longer-latency altivec integer instructions, such as vector multiply add instructions ( vmhaddshs , vmhraddshs , and vmladduhm , for example) ? vector floating-point unit (vfpu) ? three-stage load/store unit (lsu) ? supports integer, floating-point, and vector instruction load/store traffic ? four-entry vector touch queue (vtq) supports all four architected altivec data stream operations ? three-cycle gpr and altivec load latency (byte, half-word, word, vector) with one-cycle throughput ? four-cycle fpr load latency (single, double) with one-cycle throughput ? no additional delay for misaligned access within double-word boundary ? dedicated adder calculates effective addresses (eas) ? supports store gathering ? performs alignment, normalization, and precision conversion for floating-point data ? executes cache control and tlb instructions ? performs alignment, zero padding, and sign extension for integer data ? supports hits under misses (multiple outstanding misses) ? supports both big- and little-endian modes, including misaligned little-endian accesses  three issue queues fiq, viq, and giq can accept as many as one, two, and three instructions, respectively, in a cycle. instruction dispatch requires the following: ? instructions can be dispatched only from the three lowest iq entries?iq0, iq1, and iq2 ? a maximum of three instructions can be dispatched to the issue queues per clock cycle ? space must be available in the cq for an instruction to dispatch (this includes instructions that are assigned a space in the cq but not in an issue queue)  rename buffers ? 16 gpr rename buffers ? 16 fpr rename buffers ? 16 vr rename buffers  dispatch unit ? decode/dispatch stage fully decodes each instruction f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 5 features  completion unit ? the completion unit retires an instruction from the 16-entry completion queue (cq) when all instructions ahead of it have been completed, the instruction has finished execution, and no exceptions are pending. ? guarantees sequential programming model (precise exception model) ? monitors all dispatched instructions and retires them in order ? tracks unresolved branches and flushes instructions after a mispredicted branch ? retires as many as three instructions per clock cycle  separate on-chip l1 instruction and data caches (harvard architecture) ? 32-kbyte, eight-way set-associative instruction and data caches ? pseudo least-recently-used (plru) replacement algorithm ? 32-byte (eight-word) l1 cache block ? physically indexed/physical tags ? cache write-back or write-through operation programmable on a per-page or per-block basis ? instruction cache can provide four instructions per clock cycle; data cache can provide four words per clock cycle ? caches can be disabled in software ? caches can be locked in software ? mesi data cache coherency maintained in hardware ? separate copy of data cache tags for efficient snooping ? parity support on cache and tags ? no snooping of instruction cache except for icbi instruction ? data cache supports altivec lru and transient instructions ? critical double- and/or quad-word forwarding is performed as needed. critical quad-word forwarding is used for altivec loads and instruction fetches. other accesses use critical double-word forwarding.  level 2 (l2) cache interface ? on-chip, 256-kbyte, eight-way set-associative unified instruction and data cache ? fully pipelined to provide 32 bytes per clock cycle to the l1 caches ? a total nine-cycle load latency for an l1 data cache miss that hits in l2 ? plru replacement algorithm ? cache write-back or write-through operation programmable on a per-page or per-block basis ? 64-byte, two-sectored line size ? parity support on cache  level 3 (l3) cache interface (not implemented on mpc7445) ? provides critical double-word forwarding to the requesting unit ? internal l3 cache controller and tags ? external data srams ? support for 1- and 2-mbyte l3 caches f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
6 mpc7455 risc microprocessor hardware specifications motorola features ? cache write-back or write-through operation programmable on a per-page or per-block basis ? 64-byte (1m) or 128-byte (2m) sectored line size ? private memory capability for half (1-mbyte minimum) or all of the l3 sram space ? supports msug2 dual data rate (ddr) synchronous burst srams, pb2 pipelined synchronous burst srams, and pipelined (register-register) late write synchronous burst srams ? supports parity on cache and tags ? configurable core-to-l3 frequency divisors ? 64-bit external l3 data bus sustains 64 bits per l3 clock cycle  separate memory management units (mmus) for instructions and data ? 52-bit virtual address; 32- or 36-bit physical address ? address translation for 4-kbyte pages, variable-sized blocks, and 256-mbyte segments ? memory programmable as write-back/write-through, caching-inhibited/caching-allowed, and memory coherency enforced/memory coherency not enforced on a page or block basis ? separate ibats and dbats (eight each) also defined as sprs ? separate instruction and data translation lookaside buffers (tlbs) ? both tlbs are 128-entry, two-way set-associative, and use lru replacement algorithm ? tlbs are hardware- or software-reloadable (that is, on a tlb miss a page table search is performed in hardware or by system software)  efficient data flow ? although the vr/lsu interface is 128 bits, the l1/l2/l3 bus interface allows up to 256 bits ? the l1 data cache is fully pipelined to provide 128 bits/cycle to or from the vrs ? l2 cache is fully pipelined to provide 256 bits per processor clock cycle to the l1 cache ? as many as eight outstanding, out-of-order, cache misses are allowed between the l1 data cache and l2/l3 bus ? as many as 16 out-of-order transactions can be present on the mpx bus ? store merging for multiple store misses to the same line. only coherency action taken (address-only) for store misses merged to all 32 bytes of a cache block (no data tenure needed). ? three-entry finished store queue and five-entry completed store queue between the lsu and the l1 data cache ? separate additional queues for efficient buffering of outbound data (such as castouts and write through stores) from the l1 data cache and l2 cache  multiprocessing support features include the following: ? hardware-enforced, mesi cache coherency protocols for data cache ? load/store with reservation instruction pair for atomic memory references, semaphores, and other multiprocessor operations f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 7 comparison with the mpc7400, mpc7410, mpc7450, mpc7451, and mpc7441  power and thermal management ? 1.3-v processor core ? the following three power-saving modes are available to the system: ? nap?instruction fetching is halted. only those clocks for the time base, decrementer, and jtag logic remain running. the part goes into the doze state to snoop memory operations on the bus and then back to nap using a qreq /qack processor-system handshake protocol. ? sleep?power consumption is further reduced by disabling bus snooping, leaving only the pll in a locked and running state. all internal functional units are disabled. ? deep sleep?when the part is in the sleep state, the system can disable the pll. the system can then disable the sysclk source for greater system power savings. power-on reset procedures for restarting and relocking the pll must be followed on exiting the deep sleep state. ? thermal management facility provides software-controllable thermal management. thermal management is performed through the use of three supervisor-level registers and an mpc7455-specific thermal management exception. ? instruction cache throttling provides control of instruction fetching to limit power consumption  performance monitor can be used to help debug system designs and improve software efficiency  in-system testability and debugging features through jtag boundary-scan capability  testability ? lssd scan design ? ieee 1149.1 jtag interface ? array built-in self test (abist)?factory test only  reliability and serviceability ? parity checking on system bus and l3 cache bus ? parity checking on the l2 and l3 cache tag arrays 1.3 comparison with the mpc7400, mpc7410, mpc7450, mpc7451, and mpc7441 table 1 compares the key features of the mpc7455 with the key features of the earlier mpc7400, mpc7410, mpc7450, mpc7451, and mpc7441. to achieve a higher frequency, the number of logic levels per cycle is reduced. also, to achieve this higher frequency, the pipeline of the mpc7455 is extended (compared to the mpc7400), while maintaining the same level of performance as measured by the number of instructions executed per cycle (ipc). f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
8 mpc7455 risc microprocessor hardware specifications motorola comparison with the mpc7400, mpc7410, mpc7450, mpc7451, and mpc7441 table 1. microarchitecture comparison microarchitectural specs mpc7455/mpc7445 mpc7450/mpc7451/ mpc7441 mpc7400/mpc7410 basic pipeline functions logic inversions per cycle 18 18 28 pipeline stages up to execute 5 5 3 total pipeline stages (minimum) 7 7 4 pipeline maximum instruction throughput 3 + branch 3 + branch 2 + branch pipeline resources instruction buffer size 12 12 6 completion buffer size 16 16 8 renames (integer, float, vector) 16, 16, 16 16, 16, 16 6, 6, 6 maximum execution throughput sfx 332 vector 2 (any 2 of 4 units) 2 (any 2 of 4 units) 2 (permute/fixed) scalar floating-point 1 1 1 out-of-order window size in execution queues sfx integer units 1 entry 3 queues 1 entry 3 queues 1 entry 2 queues vector units in order, 4 queues in order, 4 queues in order, 2 queues scalar floating-point unit in order in order in order branch processing resources prediction structures btic, bht, link stack btic, bht, link stack btic, bht btic size, associativity 128-entry, 4-way 128-entry, 4-way 64-entry, 4-way bht size 2k-entry 2k-entry 512-entry link stack depth 8 8 none unresolved branches supported 3 3 2 branch taken penalty (btic hit) 1 1 0 minimum misprediction penalty 6 6 4 execution unit timings (latency-throughput) aligned load (integer, float, vector) 3-1, 4-1, 3-1 3-1, 4-1, 3-1 2-1, 2-1, 2-1 misaligned load (integer, float, vector) 4-2, 5-2, 4-2 4-2, 5-2, 4-2 3-2, 3-2, 3-2 l1 miss, l2 hit latency 9 data/13 instruction 9 data/13 instruction 9 (11) 1 sfx (add sub, shift, rot, cmp, logicals) 1-1 1-1 1-1 integer multiply (32 8, 32 16, 32 32) 3-1, 3-1, 4-2 3-1, 3-1, 4-2 2-1, 3-2, 5-4 scalar float 5-1 5-1 3-1 vsfx (vector simple) 1-1 1-1 1-1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 9 comparison with the mpc7400, mpc7410, mpc7450, mpc7451, and mpc7441 vcfx (vector complex) 4-1 4-1 3-1 vfpu (vector float) 4-1 4-1 4-1 vper (vector permute) 2-1 2-1 1-1 mmus tlbs (instruction and data) 128-entry, 2-way 128-entry, 2-way 128-entry, 2-way tablewalk mechanism hardware + software hardware + software hardware instruction bats/data bats 8/8 4/4 4/4 l1 i cache/d cache features size 32k/32k 32k/32k 32k/32k associativity 8-way 8-way 8-way locking granularity way way full cache parity on i cache word word none parity on d cache byte byte none number of d cache misses (load/store) 5/1 5/1 8 (any combination) data stream touch engines 4 streams 4 streams 4 streams on-chip cache features cache level l2 l2 l2 tags and controller only (see off-chip cache support below) size/associativity 256-kbyte/8-way 256-kbyte/8-way access width 256 bits 256 bits number of 32-byte sectors/line 2 2 parity byte byte off-chip cache support 2 cache level l3l3l2 on-chip tag logical size 1mb, 2mb 1mb, 2mb 0.5mb, 1mb, 2mb associativity 8-way 8-way 2-way number of 32-byte sectors/line 2, 4 2, 4 1, 2, 4 off-chip data sram support msug2 ddr, lw, pb2 msug2 ddr, lw, pb2 lw, pb2, pb3 data path width 64 64 64 direct mapped sram sizes 1 mbyte, 2 mbytes 1 mbyte, 2 mbytes 0.5 mbyte, 1 mbyte, 2mbytes 3 parity byte byte byte notes: 1. numbers in parentheses are for 2:1 sram. 2. not implemented on mpc7445 or mpc7441. 3. private memory feature not implemented on mpc7400. table 1. microarchitecture comparison (continued) microarchitectural specs mpc7455/mpc7445 mpc7450/mpc7451/ mpc7441 mpc7400/mpc7410 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
10 mpc7455 risc microprocessor hardware specifications motorola general parameters 1.4 general parameters the following list provides a summary of the general parameters of the mpc7455: technology 0.18 m cmos, six-layer metal die size 8.69 mm 12.17 mm (106 mm 2 ) transistor count 33 million logic design fully-static packages mpc7445: surface mount 360 ceramic ball grid array (cbga) mpc7455: surface mount 483 ceramic ball grid array (cbga) core power supply 1.3 v 50 mv dc nominal i/o power supply 1.8 v 5% dc, or 2.5 v 5% dc, or 1.5 v 5% dc (l3 interface only) 1.5 electrical and thermal characteristics this section provides the ac and dc electrical specifications and thermal characteristics for the mpc7455. 1.5.1 dc electrical characteristics the tables in this section describe the mpc7455 dc electrical characteristics. table 2 provides the absolute maximum ratings. table 2. absolute maximum ratings 1 characteristic symbol maximum value unit notes core supply voltage v dd ?0.3 to 1.95 v 4 pll supply voltage av dd ?0.3 to 1.95 v 4 processor bus supply voltage bvsel = 0 ov dd ?0.3 to 1.95 v 3, 6 bvsel = hreset or ov dd ov dd ?0.3 to 2.7 v 3, 7 l3 bus supply voltage l3vsel = ?hreset gv dd ?0.3 to 1.65 v 3, 8 l3vsel = 0 gv dd ?0.3 to 1.95 v 3, 9 l3vsel = hreset or gv dd gv dd ?0.3 to 2.7 v 3, 10 input voltage processor bus v in ?0.3 to ov dd + 0.3 v 2, 5 l3 bus v in ?0.3 to gv dd + 0.3 v 2, 5 jtag signals v in ?0.3 to ov dd + 0.3 v input voltage processor bus v in ?0.3 to ov dd + 0.3 v 2, 5 jtag signals v in ?0.3 to ov dd + 0.3 v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 11 electrical and thermal characteristics figure 2 shows the undershoot and overshoot voltage on the mpc7455. figure 2. overshoot/undershoot voltage the mpc7455 provides several i/o voltages to support both compatibility with existing systems and migration to future systems. the mpc7455 core voltage must always be provided at nominal 1.3 v (see table 4 for actual recommended core voltage). voltage to the l3 i/os and processor interface i/os are provided through separate sets of supply pins and may be provided at the voltages shown in table 3. the input voltage threshold for each bus is selected by sampling the state of the voltage select pins at the negation of the signal hreset . the output voltage will swing from gnd to the maximum voltage applied to the ov dd or gv dd power pins. storage temperature range t stg ?55 to 150 c notes : 1. functional and tested operating conditions are given in table 4. absolute maximum ratings are stress ratings only, and functional operation at the maximums is not guaranteed. stresses beyond those listed may affect device reliability or cause permanent damage to the device. 2. caution : v in must not exceed ov dd or gv dd by more than 0.3 v at any time including during power-on reset. 3. caution : ov dd /gv dd must not exceed v dd /av dd by more than 2.0 v during normal operation; this limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 4. caution : v dd /av dd must not exceed ov dd /gv dd by more than 1.0 v during normal operation; this limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 5. v in may overshoot/undershoot to a voltage and for a maximum duration as shown in figure 2. 6. bvsel must be set to 0, such that the bus is in 1.8 v mode. 7. bvsel must be set to hreset or 1, such that the bus is in 2.5 v mode. 8. l3vsel must be set to ?hreset (inverse of hreset ), such that the bus is in 1.5 v mode. 9. l3vsel must be set to 0, such that the bus is in 1.8 v mode. 10. l3vsel must be set to hreset or 1, such that the bus is in 2.5 v mode. table 2. absolute maximum ratings 1 (continued) characteristic symbol maximum value unit notes v ih gnd gnd ? 0.3 v gnd ? 0.7 v not to exceed 10% ov dd /gv dd + 20% v il ov dd /gv dd ov dd /gv dd + 5% of t sysclk f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
12 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics table 4 provides the recommended operating conditions for the mpc7455. table 3. input threshold voltage setting bvsel signal processor bus input threshold is relative to: l3vsel signal 5 l3 bus input threshold is relative to: notes 0 1.8 v 0 1.8 v 1, 4 ?hreset not available ?hreset 1.5 v 1, 3 hreset 2.5 v hreset 2.5 v 1, 2 1 2.5 v 1 2.5 v 1 notes: 1. caution: the input threshold selection must agree with the ov dd /gv dd voltages supplied. see notes in table 2. 2. to select the 2.5-v threshold option for the processor bus, bvsel should be tied to hreset so that the two signals change state together. similarly, to select 2.5 v for the l3 bus, tie l3vsel to hreset . this is the preferred method for selecting this mode of operation. 3. applicable to l3 bus interface only. ?hreset is the inverse of hreset . 4. if used, pulldown resistors should be less than 250 ? . 5. not implemented on mpc7445. table 4. recommended operating conditions 1 characteristic symbol recommended value unit notes min max core supply voltage v dd 1.3 v 50 mv v pll supply voltage av dd 1.3 v 50 mv v 2 processor bus supply voltage bvsel = 0 ov dd 1.8 v 5% v bvsel = hreset or ov dd ov dd 2.5 v 5% v l3 bus supply voltage l3vsel = 0 gv dd 1.8 v 5% v l3vsel = hreset or gv dd gv dd 2.5 v 5% v l3vsel = ?hreset gv dd 1.5 v 5% v input voltage processor bus v in gnd ov dd v l3 bus v in gnd gv dd v jtag signals v in gnd ov dd v die-junction temperature t j 0 105 c notes: 1. these are the recommended and tested operating conditions. proper device operation outside of these conditions is not guaranteed. 2. this voltage is the input to the filter discussed in section 1.9.2, ?pll power supply filtering,? and not necessarily the voltage at the av dd pin which may be reduced from v dd by the filter. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 13 electrical and thermal characteristics table 5 provides the package thermal characteristics for the mpc7455. table 6 provides the dc electrical characteristics for the mpc7455. table 5. package thermal characteristics 6 characteristic symbol value unit notes mpc7445 mpc7455 junction-to-ambient thermal resistance, natural convection r ja 22 20 c/w 1, 2 junction-to-ambient thermal resistance, natural convection, four-layer (2s2p) board r jma 14 14 c/w 1, 3 junction-to-ambient thermal resistance, 200 ft/min airflow, single-layer (1s) board r jma 16 15 c/w 1, 3 junction-to-ambient thermal resistance, 200 ft/min airflow, four-layer (2s2p) board r jma 11 11 c/w 1, 3 junction-to-board thermal resistance r jb 6 6 c/w 4 junction-to-case thermal resistance r jc <0.1 <0.1 c/w 5 notes : 1. junction temperature is a function of on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, airflow, power dissipation of other components on the board, and board thermal resistance. 2. per semi g38-87 and jedec jesd51-2 with the single-layer board horizontal. 3. per jedec jesd51-6 with the board horizontal. 4. thermal resistance between the die and the printed-circuit board per jedec jesd51-8. board temperature is measured on the top surface of the board near the package. 5. thermal resistance between the die and the case top surface as measured by the cold plate method (mil spec-883 method 1012.1) with the calculated case temperature. the actual value of r jc for the part is less than 0.1c/w. 6. refer to section 1.9.8, ?thermal management information,? for more details about thermal management. table 6. dc electrical specifications at recommended operating conditions. see table 4. characteristic nominal bus voltage 1 symbol min max unit notes input high voltage (all inputs except sysclk) 1.5 v ih gv dd 0.65 gv dd + 0.3 v 6 1.8 v ih ov dd /gv dd 0.65 ov dd /gv dd + 0.3 v 2.5 v ih 1.7 ov dd /gv dd + 0.3 v input low voltage (all inputs except sysclk) 1.5 v il ?0.3 gv dd 0.35 v 6 1.8 v il ?0.3 ov dd /gv dd 0.35 v 2.5 v il ?0.3 0.7 v sysclk input high voltage ? cv ih 1.4 ov dd + 0.3 v sysclk input low voltage ? cv il ?0.3 0.4 v f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
14 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics table 7 provides the power consumption for the mpc7455. input leakage current, v in = gv dd /ov dd + 0.3 v ?i in ?30a2, 3 high impedance (off-state) leakage current, v in = gv dd /ov dd + 0.3 v ?i tsi ?30a2, 3, 5 output high voltage, i oh = ?5 ma 1.5 v oh gv dd ? 0.45 ? v 6 1.8 v oh ov dd /gv dd ? 0.45 ? v 2.5 v oh 1.7 ? v output low voltage, i ol = 5 ma 1.5 v ol ?0.45v6 1.8 v ol ?0.45v 2.5 v ol ?0.7v capacitance, v in = 0 v, f = 1 mhz l3 interface ? c in ?9.5pf4 all other inputs ? 8.0 pf 4 notes : 1. nominal voltages; see table 4 for recommended operating conditions. 2. for processor bus signals, the reference is ov dd while gv dd is the reference for the l3 bus signals. 3. excludes test signals and ieee 1149.1 boundary scan (jtag) signals. 4. capacitance is periodically sampled rather than 100% tested. 5. the leakage is measured for nominal ov dd /gv dd and v dd , or both ov dd /gv dd and v dd must vary in the same direction (for example, both ov dd and v dd vary by either +5% or ?5%). 6. applicable to l3 bus interface only. table 7. power consumption for mpc7455 processor (cpu) frequency unit notes 733 mhz 867 mhz 933 mhz 1 ghz full-power mode typical 11.5 12.9 13.6 15.0 w 1, 3 maximum 17.0 19.0 20.0 22.0 w 1, 2 doze mode typical ????w4 nap mode typical 8.0 8.0 8.0 8.0 w 1, 3 sleep mode typical 7.6 7.6 7.6 7.6 w 1, 3 table 6. dc electrical specifications (continued) at recommended operating conditions. see table 4. characteristic nominal bus voltage 1 symbol min max unit notes f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 15 electrical and thermal characteristics 1.5.2 ac electrical characteristics this section provides the ac electrical characteristics for the mpc7455. after fabrication, functional parts are sorted by maximum processor core frequency as shown in section 1.5.2.1, ?clock ac specifications,? and tested for conformance to the ac specifications for that frequency. the processor core frequency is determined by the bus (sysclk) frequency and the settings of the pll_cfg[0:4] signals. parts are sold by maximum processor core frequency; see section 1.11, ?ordering information.? 1.5.2.1 clock ac specifications table 8 provides the clock ac timing specifications as defined in figure 3. deep sleep mode (pll disabled) typical 7.3 7.3 7.3 7.3 w 1, 3 notes: 1. these values apply for all valid processor bus and l3 bus ratios. the values do not include i/o supply power (ov dd and gv dd ) or pll supply power (av dd ). ov dd and gv dd power is system dependent, but is typically <5% of v dd power. worst case power consumption for av dd < 3 mw. 2. maximum power is measured at nominal v dd (see table 4) while running an entirely cache-resident, contrived sequence of instructions which keep the execution units, with or without altivec, maximally busy. 3. typical power is an average value measured at the nominal recommended v dd (see table 4) and 65 c in a system while running a typical code sequence. 4. doze mode is not a user-definable state; it is an intermediate state between full-power and either nap or sleep mode. as a result, power consumption for this mode is not tested. table 8. clock ac timing specifications at recommended operating conditions. see table 4. characteristic symbol maximum processor core frequency unit notes 733 mhz 867 mhz 933 mhz 1 ghz min max min max min max min max processor frequency f core 500 733 500 867 500 933 500 1000 mhz 1 vco frequency f vco 1000 1466 1000 1734 1000 1866 1000 2000 mhz 1 sysclk frequency f sysclk 33133331333313333133mhz 1 sysclk cycle time t sysclk 7.5307.5307.5307.530 ns sysclk rise and fall time t kr , t kf ?1.0?1.0?1.0?1.0 ns 2 sysclk duty cycle measured at ov dd /2 t khkl / t sysclk 40 60 40 60 40 60 40 60 % 3 sysclk jitter ? 150 ? 150 ? 150 ? 150 ps 4, 6 table 7. power consumption for mpc7455 (continued) processor (cpu) frequency unit notes 733 mhz 867 mhz 933 mhz 1 ghz f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
16 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics figure 3 provides the sysclk input timing diagram. figure 3. sysclk input timing diagram 1.5.2.2 processor bus ac specifications table 9 provides the processor bus ac timing specifications for the mpc7455 as defined in figure 4 and figure 5. timing specifications for the l3 bus are provided in section 1.5.2.3, ?l3 clock ac specifications.? internal pll relock time ?100?100?100?100 s5 notes : 1. caution : the sysclk frequency and pll_cfg[0:4] settings must be chosen such that the resulting sysclk (bus) frequency, cpu (core) frequency, and pll (vco) frequency do not exceed their respective maximum or minimum operating frequencies. refer to the pll_cfg[0:4] signal description in section 1.9.1, ?pll configuration,? for valid pll_cfg[0:4] settings. 2. rise and fall times for the sysclk input measured from 0.4 to 1.4 v. 3. timing is guaranteed by design and characterization. 4. this represents total input jitter?short term and long term combined?and is guaranteed by design. 5. relock timing is guaranteed by design and characterization. pll-relock time is the maximum amount of time required for pll lock after a stable v dd and sysclk are reached during the power-on reset sequence. this specification also applies when the pll has been disabled and subsequently re-enabled during sleep mode. also note that hreset must be held asserted for a minimum of 255 bus clocks after the pll-relock time during the power-on reset sequence. 6. the sysclk driver?s closed loop jitter bandwidth should be <500 khz at ?20 db. the bandwidth must be set low to allow cascade connected pll-based devices to track sysclk drivers with the specified jitter. table 8. clock ac timing specifications (continued) at recommended operating conditions. see table 4. characteristic symbol maximum processor core frequency unit notes 733 mhz 867 mhz 933 mhz 1 ghz min max min max min max min max sysclk vm vm vm cv ih cv il vm = midpoint voltage (ov dd /2) t sysclk t kr t kf t khkl f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 17 electrical and thermal characteristics table 9. processor bus ac timing specifications 1 at recommended operating conditions. see table 4. parameter symbol 2 all speed grades unit notes min max input setup times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], tt[0:3], d[0:63], dp[0:7] aack , artry , bg , ckstp_in , dbg , dti[0:3], q ack , ta , tben, tea , ts , ext_qual, p mon_in , shd [0:1] bmode [0:1], bvsel, l3vsel t avkh t ivkh t mvkh 2.0 2.0 2.0 ? ? ? ns 8 input hold times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], tt[0:3], d[0:63], dp[0:7] aack , artry , bg , ckstp_in , dbg , dti[0:3], q ack , ta , tben, tea , ts ,ext_qual, p mon_in , shd [0:1] bmode [0:1], bvsel, l3vsel t axkh t ixkh t mxkh 0 0 0 ? ? ? ns 8 output valid times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], tt[0:3], wt , c i t s d[0:63], dp[0:7] artry /shd0 /shd1 br , ckstp_out , drdy , hit , pmon_out , qreq ] t khav t khtsv t khdv t kharv t khov ? ? ? ? ? 2.5 2.5 2.5 2.5 2.5 ns output hold times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], tt[0:3], wt , ci ts d[0:63], dp[0:7] artry /shd0 /shd1 br , ckstp_out , drdy , hit , pmon_out , qreq t khax t khtsx t khdx t kharx t khox 0.5 0.5 0.5 0.5 0.5 ? ? ? ? ? ns sysclk to output enable t khoe 0.5 ? ns sysclk to output high impedance (all except ts , artry , shd0 , shd1 ) t khoz ?3.5ns sysclk to ts high impedance after precharge t khtspz ?1t sysclk 3, 4, 5 maximum delay to artry /shd0 /shd1 precharge t kharp ?1t sysclk 3, 5, 6, 7 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
18 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics figure 4 provides the ac test load for the mpc7455. figure 4. ac test load sysclk to artry /shd0 /shd1 high impedance after precharge t kharpz ?2t sysclk 3, 5, 6, 7 notes: 1. all input specifications are measured from the midpoint of the signal in question to the midpoint of the rising edge of the input sysclk. all output specifications are measured from the midpoint of the rising edge of sysclk to the midpoint of the signal in question. all output timings assume a purely resistive 50- ? load (see figure 4). input and output timings are measured at the pin; time-of-flight delays must be added for trace lengths, vias, and connectors in the system. 2. the symbology used for timing specifications herein follows the pattern of t (signal)(state)(reference)(state) for inputs and t (reference)(state)(signal)(state) for outputs. for example, t ivkh symbolizes the time input signals (i) reach the valid state (v) relative to the sysclk reference (k) going to the high (h) state or input setup time. and t khov symbolizes the time from sysclk(k) going high (h) until outputs (o) are valid (v) or output valid time. input hold time can be read as the time that the input signal (i) went invalid (x) with respect to the rising clock edge (kh) (note the position of the reference and its state for inputs) and output hold time can be read as the time from the rising edge (kh) until the output went invalid (ox). 3. t sysclk is the period of the external clock (sysclk) in ns. the numbers given in the table must be multiplied by the period of sysclk to compute the actual time duration (in ns) of the parameter in question. 4. according to the bus protocol, ts is driven only by the currently active bus master. it is asserted low then precharged high before returning to high impedance as shown in figure 6. the nominal precharge width for ts is 0.5 t sysclk , that is, less than the minimum t sysclk period, to ensure that another master asserting ts on the following clock will not contend with the precharge. output valid and output hold timing is tested for the signal asserted. output valid time is tested for precharge. the high-impedance behavior is guaranteed by design. 5. guaranteed by design and not tested. 6. according to the bus protocol, artry can be driven by multiple bus masters through the clock period immediately following aack . bus contention is not an issue because any master asserting artry will be driving it low. any master asserting it low in the first clock following aack will then go to high impedance for one clock before precharging it high during the second cycle after the assertion of aack . the nominal precharge width for artry is 1.0 t sysclk ; that is, it should be high impedance as shown in figure 6 before the first opportunity for another master to assert artry . output valid and output hold timing is tested for the signal asserted. the high-impedance behavior is guaranteed by design. 7. according to the mpx bus protocol, shd0 and shd1 can be driven by multiple bus masters beginning the cycle of ts . timing is the same as artry , that is, the signal is high impedance for a fraction of a cycle, then negated for up to an entire cycle (crossing a bus cycle boundary) before being three-stated again. the nominal precharge width for shd0 and shd1 is 1.0 t sysclk . the edges of the precharge vary depending on the programmed ratio of core-to-bus (pll configurations). 8. bmode [0:1] and bvsel are mode select inputs and are sampled before and after hreset negation. these paramenters represent the input setup and hold times for each sample. these values are guaranteed by design and not tested. these inputs must remain stable after the second sample. see figure 5 for sample timing. table 9. processor bus ac timing specifications 1 (continued) at recommended operating conditions. see table 4. parameter symbol 2 all speed grades unit notes min max output z 0 = 50 ? ov dd / 2 r l = 50 ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 19 electrical and thermal characteristics figure 5 provides the mode select input timing diagram for the mpc7455. figure 5. mode input timing diagram figure 6 provides the input/output timing diagram for the mpc7455. figure 6. input/output timing diagram hreset m ode signals vm = midpoint voltage (ov dd /2) sysclk firs t sample second sample vm vm sysclk all inputs vm vm = midpoint voltage (ov dd /2) all outputs t khox vm t khdv (except ts , artry , shd0 , shd1 ) all outputs ts artry , (except ts , artry , shd0 , shd1 ) vm t khoe t khoz t khtspz t kharpz t kharp shd1 shd0 , t khov t khav t khdx t khax t ixkh t axkh t khtsx t khtsv t khtsv t kharv t kharx t ivkh t avkh t mvkh t mxkh f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
20 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics 1.5.2.3 l3 clock ac specifications the l3_clk frequency is programmed by the l3 configuration register (l3cr[6:8]) core-to-l3 divisor ratio. see table 18 for example core and l3 frequencies at various divisors. table 10 provides the potential range of l3_clk output ac timing specifications as defined in figure 7. the maximum l3_clk frequency is the core frequency divided by two. given the high core frequencies available in the mpc7455, however, most sram designs will be not be able to operate in this mode using current technology and, as a result, will select a greater core-to-l3 divisor to provide a longer l3_clk period for read and write access to the l3 srams. therefore, the typical l3_clk frequency shown in table 10 is considered to be the practical maximum in a typical system. the maximum l3_clk frequency for any application of the mpc7455 will be a function of the ac timings of the mpc7455, the ac timings for the sram, bus loading, and printed-circuit board trace length, and may be greater or less than the value given in table 10. motorola is similarly limited by system constraints and cannot perform tests of the l3 interface on a socketed part on a functional tester at the maximum frequencies of table 10. therefore, functional operation and ac timing information are tested at core-to-l3 divisors which result in l3 frequencies at 200 mhz or less. table 10. l3_clk output ac timing specifications at recommended operating conditions. see table 4. parameter symbol all speed grades unit notes min typ max l3 clock frequency f l3_clk 75 250 ? mhz 1 l3 clock cycle time t l3_clk ? 4.0 13.3 ns l3 clock duty cycle t chcl /t l3_clk 50 % 2 l3 clock output-to-output skew (l1_clk0 to l1_clk1) t l3cskw1 ??200ps 3 l3 clock output-to-output skew (l1_clk[0:1] to l1_echo_clk[2:3]) t l3cskw2 ??100ps 4 l3 clock jitter ? ? 50 ps 5 notes : 1. the maximum l3 clock frequency will be system dependent. see section 1.5.2.3, ?l3 clock ac specifications,? for an explanation that this maximum frequency is not functionally tested at speed by motorola. 2. the nominal duty cycle of the l3 output clocks is 50% measured at midpoint voltage. 3. maximum possible skew between l3_clk0 and l3_clk1. this parameter is critical to the address and control signals which are common to both sram chips in the l3. 4. maximum possible skew between l3_clk0 and l3_echo_clk1 or between l3_clk1 and l3_echo_clk3 for pb2 or late write sram. this parameter is critical to the write data signals which are separately latched onto each sram part by these pairs of signals. 5. guaranteed by design and not tested. the input jitter on sysclk affects l3 output clocks and the l3 address/data/control signals equally and, therefore, is already comprehended in the ac timing and does not have to be considered in the l3 timing analysis. the clock-to-clock jitter shown here is uncertainty in the internal clock period caused by supply voltage noise or thermal effects. this must be accounted for, along with clock skew, in any l3 timing analysis. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 21 electrical and thermal characteristics the l3_clk timing diagram is shown in figure 7. figure 7. l3_clk_out output timing diagram 1.5.2.4 l3 bus ac specifications the mpc7455 l3 interface supports three different types of sram: source-synchronous, double data rate (ddr) msug2 sram, late write srams, and pipeline burst (pb2) srams. each requires a different protocol on the l3 interface and a different routing of the l3 clock signals. the type of sram is programmed in l3cr[22:23] and the mpc7455 then follows the appropriate protocol for that type. the designer must connect and route the l3 signals appropriately for each type of sram. following are some observations about the chip-to-sram interface.  the routing for the point-to-point signals (l3_clk[0:1], l3data[0:63], l3dp[0:7], and l3_echo_clk[0:3]) to a particular sram should be delay matched. if necessary, the length of traces can be altered in order to intentionally skew the timing and provide additional setup or hold time margin.  for a 1-mbyte l3, use address bits 16:0 (bit 0 is lsb).  no pull-up resistors are required for the l3 interface.  for high speed operations, l3 interface address and control signals should be a ?t? with minimal stubs to the two loads; data and clock signals should be point-to-point to their single load. figure 8 shows the ac test load for the l3 interface. figure 8. ac test load for the l3 interface in general, if routing is short, delay-matched, and designed for incident wave reception and minimal reflection, there is a high probability that the ac timing of the mpc7455 l3 interface will meet the maximum frequency operation of appropriately chosen srams. this is despite the pessimistic, guard-banded ac specifications (see table 12, table 13, and table 14), the limitations of functional testers l3_clk0 vm t l3cr t l3cf vm vm vm l3_clk1 vm vm t l3_clk t chcl vm t l3cskw1 l3_echo_clk1 l3_echo_clk3 vm vm vm vm t l3cskw2 vm vm vm vm t l3cskw2 for pb2 or late write: output z 0 = 50 ? gv dd / 2 r l = 50 ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
22 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics described in section 1.5.2.3, ?l3 clock ac specifications,? and the uncertainty of clocks and signals which inevitably make worst-case critical path timing analysis pessimistic. more specifically, certain signals within groups should be delay-matched with others in the same group while intergroup routing is less critical. only the address and control signals are common to both srams and additional timing margin is available for these signals. the double-clocked data signals are grouped with individual clocks as shown in figure 9 or figure 11, depending on the type of sram. for example, for the msug2 ddr sram (see figure 9); l3data[0:31], l3dp[0:3], and l3_clk[0] form a closely coupled group of outputs from the mpc7455; while l3data[0:15], l3dp[0:1], and l3_echo_clk[0] form a closely coupled group of inputs. the mpc7450 risc microprocessor family user?s manual refers to logical settings called ?sample points? used in the synchronization of reads from the receive fifo. the computation of the correct value for this setting is system-dependent and is described in the mpc7450 risc microprocessor family user?s manual . three specifications are used in this calculation and are given in table 11. it is essential that all three specifications are included in the calculations to determine the sample points, as incorrect settings can result in errors and unpredictable behavior. for more information, see the mpc7450 risc microprocessor family user?s manual. 1.5.2.4.1 l3 bus ac specifications for ddr msug2 srams when using ddr msug2 srams at the l3 interface, the parts should be connected as shown in figure 9. outputs from the mpc7455 are actually launched on the edges of an internal clock phase-aligned to sysclk (adjusted for core and l3 frequency divisors). l3_clk0 and l3_clk1 are this internal clock output with 90 phase delay, so outputs are shown synchronous to l3_clk0 and l3_clk1. output valid times are typically negative when referenced to l3_clk n because the data is launched one-quarter period before l3_clk n to provide adequate setup time at the sram after the delay-matched address, control, data, and l3_clk n signals have propagated across the printed-wiring board. inputs to the mpc7455 are source-synchronous with the cq clock generated by the ddr msug2 srams. these cq clocks are received on the l3_echo_clk n inputs of the mpc7455. an internal circuit delays the incoming l3_echo_clk n signal such that it is positioned within the valid data window at the internal receiving latches. this delayed clock is used to capture the data into these latches which comprise the table 11. sample points calculation parameters parameter symbol max unit notes delay from processor clock to internal_l3_clk t ac 3/4 t l3_clk 1 delay from internal_l3_clk to l3_clk n output pins t co 3ns2 delay from l3_echo_clk n to receive latch t eci 3ns3 notes : 1. this specification describes a logical offset between the internal clock edge used to launch the l3 address and control signals (this clock edge is phase-aligned with the processor clock edge) and the internal clock edge used to launch the l3_clk n signals. with proper board routing, this offset ensures that the l3_clk n edge will arrive at the sram within a valid address window and provide adequate setup and hold time. this offset is reflected in the l3 bus interface ac timing specifications, but must also be separately accounted for in the calculation of sample points and, thus, is specified here. 2. this specification is the delay from a rising or falling edge on the internal_l3_clk signal to the corresponding rising or falling edge at the l3clk n pins. 3. this specification is the delay from a rising or falling edge of l3_echo_clk n to data valid and ready to be sampled from the fifo. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 23 electrical and thermal characteristics receive fifo. this clock is asynchronous to all other processor clocks. this latched data is subsequently read out of the fifo synchronously to the processor clock. the time between writing and reading the data is set by the using the sample point settings defined in the l3c r register. table 12 provides the l3 bus interface ac timing specifications for the configuration as shown in figure 9, assuming the timing r elationships shown in figure 10 and the loading shown in figure 8. table 12. l3 bus interface ac timing specifications for msug2 at recommended operating conditions. see table 4. parameter symbol all speed grades 8 unit notes l3oh0 = 0, l3oh1 = 0 l3oh0 = 0, l3oh1 =1 l3oh0 = 1, l3oh1 = 0 l3oh0 = 1, l3oh1 = 1 min max min max min max min max l3_clk rise and fall time t l3cr , t l3cf ?1.0?1.0?1.0?1.0ns1 setup times: data and parity t l3dveh , t l3dvel ? 0.1 ? ? 0.1 ? ? 0.1 ? ? 0.1 ? ns 2, 3, 4 input hold times: data and parity t l3dxeh , t l3dxel t l3_clk /4 + 0.30 ?t l3_clk /4 + 0.30 ?t l3_clk /4 + 0.30 ?t l3_clk /4 + 0.30 ?ns2, 4 valid times: data and parity t l3chdv , t l3cldv ?(? t l3_clk /4) + 0.60 ?(? t l3_clk /4) + 0.40 ?(? t l3_clk /4) + 0.20 ?(? t l3_clk /4) + 0.00 ns 5, 6, 7 valid times: all other outputs t l3chov ?t l3_clk /4 + 0.80 ?t l3_clk /4 + 0.60 ?t l3_clk /4 + 0.40 ?t l3_clk /4 + 0.20 ns 5, 7 output hold times: data and parity t l3chdx , t l3cldx, t l3_clk /4 ? 0.40 ?t l3_clk /4 ? 0.60 ?t l3_clk /4 ? 0.80 ?t l3_clk /4 ? 1.00 ?ns5, 6, 7 output hold times: all other outputs t l3chox t l3_clk /4 ? 0.20 ?t l3_clk /4 ? 0.40 ?t l3_clk /4 ? 0.60 ?t l3_clk /4 ? 0.80 ?ns5, 7 l3_clk to high impedance: data and parity t l3cldz ?t l3_clk /2 ? t l3_clk /2 ? t l3_clk /2 ? t l3_clk /2 ns f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
24 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics l3_clk to high impedance: all other outputs t l3choz ?t l3_clk /4 + 2.0 ?t l3_clk /4 + 2.0 t l3_clk /4 + 2.0 ?t l3_clk /4 + 2.0 ?ns notes : 1. rise and fall times for the l3_clk output are measured from 20% to 80% of gv dd . 2. for ddr, all input specifications are measured from the midpoint of the signal in question to the midpoint voltage of the ris ing or falling edge of the input l3_echo_clk n (see figure 10). input timings are measured at the pins. 3. for ddr, the input data will typically follow the edge of l3_echo_clk n as shown in figure 10. for consistency with other input setup time specifications, this will be treated as negative input setup time. 4. t l3_clk /4 is one-fourth the period of l3_clk n . this parameter indicates that the mpc7455 can latch an input signal that is valid for only a short time before and a short time after the midpoint between the rising and falling (or falling and rising) edges of l3_echo_clk n at any frequency. 5. all output specifications are measured from the midpoint voltage of the rising (or for ddr write data, also the falling) edge of l3_clk to the midpoint of the signal in question. the output timings are measured at the pins. all output timings assume a purely resistive 50- ? load (see figure 8). 6. for ddr, the output data will typically lead the edge of l3_clk n as shown in figure 10. for consistency with other output valid time specifications, this will be treated as negative output valid time. 7. t l3_clk /4 is one-fourth the period of l3_clk n . this parameter indicates that the specified output signal is actually launched by an internal clock delayed in phase by 90. therefore, there is a frequency component to the output valid and output hold times such that the specified outpu t signal will be valid for approximately one l3_clk period starting three-fourths of a clock prior to the edge on which the sram will sample it and ending one-fourth of a clock period after the edge it will be sampled. 8. these configuration bits allow the ac timing of the l3 interface to be altered via software. l3oh0 = l2cr[12], l30h1 = l3cr[12]. revisions of the mpc7455 not described by this document may implement these bits differently. see section 1.11.1, ?part numbers fully addressed by this d ocument,? and section 1.11.2, ?part numbers not fully addressed by this docume nt,? for more information on which devices are addressed by this document. table 12. l3 bus interface ac timing specifications for msug2 (continued) at recommended operating conditions. see table 4. parameter symbol all speed grades 8 unit notes l3oh0 = 0, l3oh1 = 0 l3oh0 = 0, l3oh1 =1 l3oh0 = 1, l3oh1 = 0 l3oh0 = 1, l3oh1 = 1 min max min max min max min max f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 25 electrical and thermal characteristics figure 9 shows the typical connection diagram for the mpc7455 interfaced to msug2 srams such as the motorola mcm64e836. figure 9. typical source synchronous 2-mbyte l3 cache ddr interface denotes receive (sram to mpc7455) aligned signals {l3data[0:15], {l3data[16:31], {l3_data[32:47], l3addr[17:0] l3_cntl [0] l3_clk[0] l3_clk[1] l3_echo_clk[0] l3_echo_clk[1] l3echo_clk[2] l3_echo_clk[3] {l3data[48:63], l3dp[0:1]} l3dp[2:3]} l3dp[4:5]} l3dp[6:7]} cq sa[17:0] ck b1 b2 sram 0 sram 1 cq d[0:17] d[18:35] cq sa[17:0] ck b1 b2 cq d[0:17] d[18:35] l3_cntl [1] nc nc gnd gnd gnd nc nc gnd gnd gnd mpc7455 denotes receive (sram to mpc7455) aligned signals denotes transmit (mpc7455 to sram) aligned signals gv dd /2 1 gv dd /2 1 cq ck b3 g cq lbo cq ck b3 g cq lbo note: 1. or as recommended by sram manufacturer for single-ended clocking. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
26 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics figure 10 shows the l3 bus timing diagrams for the mpc7455 interfaced to msug2 srams. figure 10. l3 bus timing diagrams for l3 cache ddr srams 1.5.2.4.2 l3 bus ac specifications for pb2 and late write srams when using pb2 or late write srams at the l3 interface, the parts should be connected as shown in figure 11. these srams are synchronous to the mpc7455; one l3_clk n signal is output to each sram to latch address, control, and write data. read data is launched by the sram synchronous to the delayed l3_clk n signal it received. the mpc7455 needs a copy of that delayed clock which launched the sram read data to know when the returning data will be valid. therefore, l3_echo_clk1 and l3_echo_clk3 must be routed halfway to the srams and then returned to the mpc7455 inputs l3_echo_clk0 and l3_echo_clk2, respectively. thus, l3_echo_clk0 and l3_echo_clk2 are phase-aligned with the input clock received at the srams. the mpc7455 will latch the incoming data on the rising edge of l3_echo_clk0 and l3_echo_clk2. table 13 provides the l3 bus interface ac timing specifications for the configuration shown in figure 11, assuming the timing relationships of figure 12 and the loading of figure 8. l3_echo_clk[0,1,2,3] l3 data and data vm vm = midpoint voltage (gv dd /2) parity inputs l3_clk[0,1] addr, l3cntl vm t l3chov t l3chox vm l3data write t l3choz vm vm vm vm t l3chdv t l3chdx vm vm vm outputs inputs t l3cldv t l3cldx t l3cldz t l3dveh t l3dxel t l3dvel t l3dxeh note: t l3dveh and t l3dvel as drawn here will be negative numbers, that is, input setup time will be time after the clock edge. note: t l3chdv and t l3cldv as drawn here will be negative numbers, that is, output valid time will be time before the clock edge. vm = midpoint voltage (gv dd /2) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 27 electrical and thermal characteristics table 13. l3 bus interface ac timing specifications for pb2 and late write srams at recommended operating conditions. see table 4. parameter symbol all speed grades 6 unit notes l3oh0 = 0, l3oh1 = 0 l3oh0 = 0, l3oh1 =1 l3oh0 = 1, l3oh1 = 0 l3oh0 = 1, l3oh1 = 1 min max min max min max min max l3_clk rise and fall time t l3cr , t l3cf ?1.0?1.0?1.0?1.0ns1, 5 setup times: data and parity t l3dveh 1.5?1.5?1.5?1.5?ns2, 5 input hold times: data and parity t l3dxeh ?0.5?0.5?0.5?0.5ns2, 5 valid times: data and parity t l3chdv ?t l3_clk /4 + 1.00 ?t l3_clk /4 + 0.80 ?t l3_clk /4 + 0.60 ?t l3_clk /4 + 0.40 ns 3, 4, 5 valid times: all other outputs t l3chov ?t l3_clk /4 + 1.00 ?t l3_clk /4 + 0.80 ?t l3_clk /4 + 0.60 ?t l3_clk /4 + 0.40 ns 4 output hold times: data and parity t l3chdx t l3_clk /4 ? 0.40 ?t l3_clk /4 ? 0.60 ?t l3_clk /4 ? 0.80 ?t l3_clk /4 ? 1.00 ?ns3, 4, 5 output hold times: all other outputs t l3chox t l3_clk /4 ? 0.40 ?t l3_clk /4 ? 0.60 ?t l3_clk /4 ? 0.80 ?t l3_clk /4 ? 1.00 ?ns4, 5 l3_clk to high impedance: data and parity t l3chdz ?2.0?2.0?2.0?2.0ns5 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
28 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics l3_clk to high impedance: all other outputs t l3choz ?2.0?2.0?2.0?2.0ns5 notes : 1. rise and fall times for the l3_clk output are measured from 20% to 80% of gv dd . 2. all input specifications are measured from the midpoint of the signal in question to the midpoint voltage of the rising edge of the input l3_echo_clk n (see figure 10). input timings are measured at the pins. 3. all output specifications are measured from the midpoint voltage of the rising edge of l3_clk n to the midpoint of the signal in question. the output timings are measured at the pins. all output timings assume a purely resistive 50- ? load (see figure 10). 4. t l3_clk /4 is one-fourth the period of l3_clk n . this parameter indicates that the specified output signal is actually launched by an internal clock delayed in phase by 90. therefore, there is a frequency component to the output valid and output hold times such that the specified outpu t signal will be valid for approximately one l3_clk period starting three-fourths of a clock prior to the edge on which the sram will sample it and ending one-fourth of a clock period after the edge it will be sampled. 5. timing behavior and characterization are currently being evaluated. 6. these configuration bits allow the ac timing of the l3 interface to be altered via software. l3oh0 = l2cr[12], l30h1 = l3cr[12]. revisions of the mpc7455 not described by this document may implement these bits differently. see section 1.11.1, ?part numbers fully addressed by this d ocument,? and section 1.11.2, ?part numbers not fully addressed by this document,? for more information on which devices are addressed by this document. table 13. l3 bus interface ac timing specifications for pb2 and late write srams (continued) at recommended operating conditions. see table 4. parameter symbol all speed grades 6 unit notes l3oh0 = 0, l3oh1 = 0 l3oh0 = 0, l3oh1 =1 l3oh0 = 1, l3oh1 = 0 l3oh0 = 1, l3oh1 = 1 min max min max min max min max f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 29 electrical and thermal characteristics figure 11 shows the typical connection diagram for the mpc7455 interfaced to pb2 srams, such as the motorola mcm63r737, or late write srams, such as the motorola mcm63r836a. figure 11. typical synchronous 1-mbyte l3 cache late write or pb2 interface l3_addr[16:0] l3_cntl [0] sa[16:0] k k ss sw zz g sram 0 dq[0:17] dq[18:36 ] l3_cntl [1] gv dd /2 1 gnd gnd sram 1 gv dd /2 1 gnd gnd {l3_data[0:15], {l3_data[16:31], {l3_data[32:47], l3_clk[0] l3_clk[1] l3_echo_clk[0] l3_echo_clk[1] l3_echo_clk[2] {l3_data[48:63], l3_dp[0:1]} l3_dp[2:3]} l3_dp[4:5]} l3_dp[6:7]} denotes receive (sram to mpc7455) aligned signals mpc7455 denotes transmit (mpc7455 to sram) aligned signals l3_echo_clk[3] sa[16:0] k k ss sw zz g dq[0:17] dq[18:36 ] note: 1. or as recommended by sram manufacturer for single-ended clocking. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
30 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics figure 12 shows the l3 bus timing diagrams for the mpc7455 interfaced to pb2 or late write srams. figure 12. l3 bus timing diagrams for late write or pb2 srams 1.5.2.5 ieee 1149.1 ac timing specifications table 14 provides the ieee 1149.1 (jtag) ac timing specifications as defined in figure 14 through figure 17. table 14. jtag ac timing specifications (independent of sysclk) 1 at recommended operating conditions. see table 4. parameter symbol min max unit notes tck frequency of operation f tclk 033.3mhz tck cycle time t tclk 30 ? ns tck clock pulse width measured at 1.4 v t jhjl 15 ? ns tck rise and fall times t jr and t jf 02ns trst assert time t trst 25 ? ns 2 input setup times: boundary-scan data tms, tdi t dvjh t ivjh 4 0 ? ? ns 3 input hold times: boundary-scan data tms, tdi t dxjh t ixjh 20 25 ? ? ns 3 l3_echo_clk[0,2] l3 data and data vm vm = midpoint voltage (gv dd /2) t l3dveh t l3dxeh parity inputs l3_clk[0,1] addr, l3_cntl vm t l3chov t l3chox vm l3data write t l3chdz outputs inputs l3_echo_clk[1,3] t l3chdv t l3chdx t l3choz f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 31 electrical and thermal characteristics figure 13 provides the ac test load for tdo and the boundary-scan outputs of the mpc7455. figure 13. alternate ac test load for the jtag interface figure 14 provides the jtag clock input timing diagram. figure 14. jtag clock input timing diagram figure 15 provides the trst timing diagram. figure 15. trst timing diagram valid times: boundary-scan data tdo t jldv t jlov 4 4 20 25 ns 4 output hold times: boundary-scan data tdo t jldx t jlox tbd tbd tbd tbd ns 4 tck to output high impedance: boundary-scan data tdo t jldz t jloz 3 3 19 9 ns 4, 5 notes: 1. all outputs are measured from the midpoint voltage of the falling/rising edge of tclk to the midpoint of the signal in question. the output timings are measured at the pins. all output timings assume a purely resistive 50- ? load (see figure 13). time-of-flight delays must be added for trace lengths, vias, and connectors in the system. 2. trst is an asynchronous level sensitive signal. the setup time is for test purposes only. 3. non-jtag signal input timing with respect to tck. 4. non-jtag signal output timing with respect to tck. 5. guaranteed by design and characterization. table 14. jtag ac timing specifications (independent of sysclk) 1 (continued) at recommended operating conditions. see table 4. parameter symbol min max unit notes output z 0 = 50 ? ov dd / 2 r l = 50 ? vm vm vm vm = midpoint voltage (ov dd /2) t tclk t jr t jf t jhjl tclk trst t trst vm = midpoint voltage (ov dd /2) vm vm f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
32 mpc7455 risc microprocessor hardware specifications motorola electrical and thermal characteristics figure 16 provides the boundary-scan timing diagram. figure 16. boundary-scan timing diagram figure 17 provides the test access port timing diagram. figure 17. test access port timing diagram vm tck boundary boundary boundary data outputs data inputs data outputs vm = midpoint voltage (ov dd /2) t dxjh t dvjh t jldv t jldz input data valid output data valid output data valid t jldx vm vm tck tdi, tms tdo output data valid vm = midpoint voltage (ov dd /2) t ixjh t ivjh t jlov t jloz input data valid tdo output data valid t jlox vm f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 33 pin assignments 1.6 pin assignments figure 18 (in part a) shows the pinout of the mpc7445, 360 cbga package as viewed from the top surface. part b shows the side profile of the cbga package to indicate the direction of the top surface view. figure 18. pinout of the mpc7445, 360 cbga package as viewed from the top surface a b c d e f g h j k l m n p r t 12 3 4 5678 910111213141516 not to scale 17 18 19 u v w part a view part b die substrate assembly encapsulant f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
34 mpc7455 risc microprocessor hardware specifications motorola pin assignments figure 19 (in part a) shows the pinout of the mpc7455, 483 cbga package as viewed from the top surface. part b shows the side profile of the cbga package to indicate the direction of the top surface view. figure 19. pinout of the mpc7455, 483 cbga package as viewed from the top surface a b c d e f g h j k l m n p r t 12 3 4 5678 910111213141516 not to scale 17 18 19 u v w 20 21 22 y aa ab part a view part b die substrate assembly encapsulant f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 35 pinout listings 1.7 pinout listings table 15 provides the pinout listing for the mpc7445, 360 cbga package. table 16 provides the pinout listing for the mpc7455, 483 cbga package. note this pinout is not compatible with the mpc750, mpc7400, or mpc7410, 360 bga package. table 15. pinout listing for the mpc7445, 360 cbga package signal name pin number active i/o i/f select 1 notes a[0:35] e11, h1, c11, g3, f10, l2, d11, d1, c10, g2, d12, l3, g4, t2, f4, v1, j4, r2, k5, w2, j2, k4, n4, j3, m5, p5, n3, t1, v2, u1, n5, w1, b12, c4, g10, b11 high i/o bvsel 11 aack r1 low input bvsel ap[0:4] c1, e3, h6, f5, g7 high i/o bvsel artry n2 low i/o bvsel 8 av dd a8 ? input n/a bg m1 low input bvsel bmode0 g9 low input bvsel 5 bmode1 f8 low input bvsel 6 br d2 low output bvsel bvsel b7 high input bvsel 1, 7 ci j1 low output bvsel 8 ckstp_in a3 low input bvsel ckstp_out b1 low output bvsel clk_out h2 high output bvsel d[0:63] r15, w15, t14, v16, w16, t15, u15, p14, v13, w13, t13, p13, u14, w14, r12, t12, w12, v12, n11, n10, r11, u11, w11, t11, r10, n9, p10, u10, r9, w10, u9, v9, w5, u6, t5, u5, w7, r6, p7, v6, p17, r19, v18, r18, v19, t19, u19, w19, u18, w17, w18, t16, t18, t17, w3, v17, u4, u8, u7, r7, p6, r8, w8, t8 high i/o bvsel dbg m2 low input bvsel dp[0:7] t3, w4, t4, w9, m6, v3, n8, w6 high i/o bvsel drdy r3 low output bvsel 4 dti[0:3] g1, k1, p1, n1 high input bvsel 13 ext_qual a11 high input bvsel 9 gbl e2 low i/o bvsel f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
36 mpc7455 risc microprocessor hardware specifications motorola pinout listings gnd b5, c3, d6, d13, e17, f3, g17, h4, h7, h9, h11, h13, j6, j8, j10, j12, k7, k3, k9, k11, k13, l6, l8, l10, l12, m4, m7, m9, m11, m13, n7, p3, p9, p12, r5, r14, r17, t7, t10, u3, u13, u17, v5, v8, v11, v15 ?? n/a hit b2 low output bvsel 4 hreset d8 low input bvsel int d4 low input bvsel l1_tstclk g8 high input bvsel 9 l2_tstclk b3 high input bvsel 12 no connect a6, a13, a14, a15, a16, a17, a18, a19, b13, b14, b15, b16, b17, b18, b19, c13, c14, c15, c16, c17, c18, c19, d14, d15, d16, d17, d18, d19, e12, e13, e14, e15, e16, e19, f12, f13, f14, f15, f16, f17, f18, f19, g11, g12, g13, g14, g15, g16, g19, h14, h15, h16, h17, h18, h19, j14, j15, j16, j17, j18, j19, k15, k16, k17, k18, k19, l14, l15, l16, l17, l18, l19, m14, m15, m16, m17, m18, m19, n12, n13, n14, n15, n16, n17, n18, n19, p15, p16, p18, p19 ?? ? 3 lssd_mode e8 low input bvsel 2, 7 mcp c9 low input bvsel ov dd b4, c2, c12, d5, e18, f2, g18, h3, j5, k2, l5, m3, n6, p2, p8, p11, r4, r13, r16, t6, t9, u2, u12, u16, v4, v7, v10, v14 ?? n/a pll_cfg[0:4] b8, c8, c7, d7, a7 high input bvsel pmon_in d9 low input bvsel 10 pmon_out a9 low output bvsel qack g5 low input bvsel qreq p4 low output bvsel shd [0:1] e4, h5 low i/o bvsel 8 smi f9 low input bvsel sreset a2 low input bvsel sysclk a10 ? input bvsel ta k6 low input bvsel tben e1 high input bvsel tbst f11 low output bvsel table 15. pinout listing for the mpc7445, 360 cbga package (continued) signal name pin number active i/o i/f select 1 notes f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 37 pinout listings tck c6 high input bvsel tdi b9 high input bvsel 7 tdo a4 high output bvsel tea l1 low input bvsel test[0:3] a12, b6, b10, e10 ? input bvsel 2 test[4] d10 ? input bvsel 9 tms f1 high input bvsel 7 trst a5 low input bvsel 7, 14 ts l4 low i/o bvsel 8 tsiz[0:2] g6, f7, e7 high output bvsel tt[0:4] e5, e6, f6, e9, c5 high i/o bvsel wt d3 low output bvsel 8 v dd h8, h10, h12, j7, j9, j11, j13, k8, k10, k12, k14, l7, l9, l11, l13, m8, m10, m12 ?? n/a notes: 1. ov dd supplies power to the processor bus, jtag, and all control signals; and v dd supplies power to the processor core and the pll (after filtering to become av dd ). to program the i/o voltage, connect bvsel to either gnd (selects 1.8 v) or to hreset (selects 2.5 v). if used, the pulldown resistor should be less than 250 ? . for actual recommended value of v in or supply voltages see table 4. 2. these input signals are for factory use only and must be pulled up to ov dd for normal machine operation. 3. these signals are for factory use only and must be left unconnected for normal machine operation. 4. ignored in 60x bus mode. 5. this signal selects between mpx bus mode (asserted) and 60x bus mode (negated) and will be sampled at hreset going high. 6. this signal must be negated during reset, by pull-up to ov dd or negation by ?hreset (inverse of hreset ), to ensure proper operation. 7. internal pull-up on die. 8. these pins require weak pull-up resistors (for example, 4.7 k ? ) to maintain the control signals in the negated state after they have been actively negated and released by the mpc7445 and other bus masters. 9. these input signals are for factory use only and must be pulled down to gnd for normal machine operation. 10. this pin can externally cause a performance monitor event. counting of the event is enabled via software. 11. unused address pins must be pulled down to gnd. 12. this test signal is recommended to be tied to hreset ; however, other configurations will not adversely affect performance. 13. these signals must be pulled down to gnd if unused, or if the mpc7445 is in 60x bus mode. 14. this signal must be asserted during reset, by pull-down to gnd or assertion by hreset , to ensure proper operation. table 15. pinout listing for the mpc7445, 360 cbga package (continued) signal name pin number active i/o i/f select 1 notes f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
38 mpc7455 risc microprocessor hardware specifications motorola pinout listings table 16. pinout listing for the mpc7455, 483 cbga package signal name pin number active i/o i/f select 1 notes a[0:35] e10, n4, e8, n5, c8, r2, a7, m2, a6, m1, a10, u2, n2, p8, m8, w4, n6, u6, r5, y4, p1, p4, r6, m7, n7, aa3, u4, w2, w1, w3, v4, aa1, d10, j4, g10, d9 high i/o bvsel 11 aack u1 low input bvsel ap[0:4] l5, l6, j1, h2, g5 high i/o bvsel artry t2 low i/o bvsel 8 av dd b2 ? input n/a bg r3 low input bvsel bmode0 c6 low input bvsel 5 bmode1 c4 low input bvsel 6 br k1 low output bvsel bvsel g6 high input n/a 3, 7 ci r1 low output bvsel 8 ckstp_in f3 low input bvsel ckstp_out k6 low output bvsel clk_out n1 high output bvsel d[0:63] ab15, t14, r14, ab13, v14, u14, ab14, w16, aa11, y11, u12, w13, y14, u13, t12, w12, ab12, r12, aa13, ab11, y12, v11, t11, r11, w10, t10, w11, v10, r10, u10, aa10, u9, v7, t8, ab4, y6, ab7, aa6, y8, aa7, w8, ab10, aa16, ab16, ab17, y18, ab18, y16, aa18, w14, r13, w15, aa14, v16, w6, aa12, v6, ab9, ab6, r7, r9, aa9, ab8, w9 high i/o bvsel dbg v1 low input bvsel dp[0:7] aa2, ab3, ab2, aa8, r8, w5, u8, ab5 high i/o bvsel drdy t6 low output bvsel 4 dti[0:3] p2, t5, u3, p6 high input bvsel 13 ext_qual b9 high input bvsel 9 gbl m4 low i/o bvsel f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 39 pinout listings gnd a22, b1, b5, b12, b14, b16, b18, b20, c3, c9, c21, d7, d13, d15, d17, d19, e2, e5, e21, f10, f12, f14, f16, f19, g4, g7, g17, g21, h13, h15, h19, h5, j3, j10, j12, j14, j17, j21, k5, k9, k11, k13, k15, k19, l10, l12, l14, l17, l21, m3, m6, m9, m11, m13, m19, n10, n12, n14, n17, n21, p3, p9, p11, p13, p15, p19, r17, r21, t13, t15, t19, t4, t7, t9, u17, u21, v2, v5, v8, v12, v15, v19, w7, w17, w21, y3, y9, y13, y15, y20, aa5, aa17, ab1, ab22 ?? n/a gv dd b13, b15, b17, b19, b21, d12, d14, d16, d18, d21, e19, f13, f15, f17, f21, g19, h12, h14, h17, h21, j19, k17, k21, l19, m17, m21, n19, p17, p21, r15, r19, t17, t21, u19, v17, v21, w19, y21 ?? n/a 15 hit k2 low output bvsel 4 hreset a3 low input bvsel int j6 low input bvsel l1_tstclk h4 high input bvsel 9 l2_tstclk j2 high input bvsel 12 l3vsel a4 high input n/a 3, 7 l3addr[17:0] f20, j16, e22, h18, g20, f22, g22, h20, k16, j18, h22, j20, j22, k18, k20, l16, k22, l18 high output l3vsel l3_clk[0:1] v22, c17 high output l3vsel l3_cntl [0:1] l20, l22 low output l3vsel l3data[0:63] aa19, ab20, u16, w18, aa20, ab21, aa21, t16, w20, u18, y22, r16, v20, w22, t18, u20, n18, n20, n16, n22, m16, m18, m20, m22, r18, t20, u22, t22, r20, p18, r22, m15, g18, d22, e20, h16, c22, f18, d20, b22, g16, a21, g15, e17, a20, c19, c18, a19, a18, g14, e15, c16, a17, a16, c15, g13, c14, a14, e13, c13, g12, a13, e12, c12 high i/o l3vsel l3dp[0:7] ab19, aa22, p22, p16, c20, e16, a15, a12 high i/o l3vsel l3_echo_clk[0,2] v18, e18 high input l3vsel l3_echo_clk[1,3] p20, e14 high i/o l3vsel lssd_mode f6 low input bvsel 2, 7 mcp b8 low input bvsel no connect a8, a11, b6, b11, c11, d11, d3, d5, e11, e7, f2, f11, g11, g2, h11, h9, j8 ?? n/a 16 table 16. pinout listing for the mpc7455, 483 cbga package (continued) signal name pin number active i/o i/f select 1 notes f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
40 mpc7455 risc microprocessor hardware specifications motorola pinout listings ov dd b3, c5, c7, c10, d2, e3, e9, f5, g3, g9, h7, j5, k3, l7, m5, n3, p7, r4, t3, u5, u7, u11, u15, v3, v9, v13, y2, y5, y7, y10, y17, y19, aa4, aa15 ?? n/a pll_cfg[0:4] a2, f7, c2, d4, h8 high input bvsel pmon_in e6 low input bvsel 10 pmon_out b4 low output bvsel qack k7 low input bvsel qreq y1 low output bvsel shd [0:1] l4, l8 low i/o bvsel 8 smi g8 low input bvsel sreset g1 low input bvsel sysclk d6 ? input bvsel ta n8 low input bvsel tben l3 high input bvsel tbst b7 low output bvsel tck j7 high input bvsel tdi e4 high input bvsel 7 tdo h1 high output bvsel tea t1 low input bvsel test[0:5] b10, h6, h10, d8, f9, f8 ? input bvsel 2 test[6] a9 ? input bvsel 9 tms k4 high input bvsel 7 trst c1 low input bvsel 7, 14 ts p5 low i/o bvsel 8 tsiz[0:2] l1,h3,d1 high output bvsel tt[0:4] f1, f4, k8, a5, e1 high i/o bvsel wt l2 low output bvsel 8 table 16. pinout listing for the mpc7455, 483 cbga package (continued) signal name pin number active i/o i/f select 1 notes f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 41 package description 1.8 package description the following sections provide the package parameters and mechanical dimensions for the cbga package. 1.8.1 package parameters for the mpc7445, 360 cbga the package parameters are as provided in the following list. the package type is 25 25 mm, 360-lead ceramic ball grid array (cbga). package outline 25 25 mm interconnects 360 (19 19 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height 2.72 mm maximum module height 3.24 mm ball diameter 0.89 mm (35 mil) v dd j9, j11, j13, j15, k10, k12, k14, l9, l11, l13, l15, m10, m12, m14, n9, n11, n13, n15, p10, p12, p14 ?? n/a notes: 1. ov dd supplies power to the processor bus, jtag, and all control signals except the l3 cache controls (l3ctl[0:1]); gv dd supplies power to the l3 cache interface (l3addr[0:17], l3data[0:63], l3dp[0:7], l3_echo_clk[0:3], and l3_clk[0:1]) and the l3 control signals l3_cntl [0:1]; and v dd supplies power to the processor core and the pll (after filtering to become av dd ). for actual recommended value of v in or supply voltages, see table 4. 2. these input signals are for factory use only and must be pulled up to ov dd for normal machine operation. 3. to program the processor interface i/o voltage, conn ect bvsel to either gnd (selects 1.8 v) or to hreset (selects 2.5 v). to program the l3 interface, connect l3vsel to either gnd (selects 1.8 v) or to hreset (selects 2.5 v) or to hreset (selects 1.5 v). if used, pulldown resistors should be less than 250 ? . 4. ignored in 60x bus mode. 5. this signal selects between mpx bus mode (asserted) and 60x bus mode (negated) and will be sampled at hreset going high. 6. this signal must be negated during reset, by pull-up to ov dd or negation by ?hreset (inverse of hreset ), to ensure proper operation. 7. internal pull-up on die. 8. these pins require weak pull-up resistors (for example, 4.7 k ? ) to maintain the control signals in the negated state after they have been actively negated and released by the mpc7455 and other bus masters. 9. these input signals for factory use only and must be pulled down to gnd for normal machine operation. 10. this pin can externally cause a performance monitor event. counting of the event is enabled via software. 11. unused address pins must be pulled down to gnd. 12. this test signal is recommended to be tied to hreset ; however, other configurations will not adversely affect performance. 13. these signals must be pulled down to gnd if unused or if the mpc7455 is in 60x bus mode. 14. this signal must be asserted during reset, by pull-down to gnd or assertion by hreset , to ensure proper operation. 15. power must be supplied to gv dd , even when the l3 interface is disabled or unused. 16. these signals are for factory use only and must be left unconnected for normal machine operation. table 16. pinout listing for the mpc7455, 483 cbga package (continued) signal name pin number active i/o i/f select 1 notes f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
42 mpc7455 risc microprocessor hardware specifications motorola package description 1.8.2 mechanical dimensions for the mpc7445, 360 cbga figure 20 provides the mechanical dimensions and bottom surface nomenclature for the mpc7445, 360 cbga package. figure 20. mechanical dimensions and bottom surface nomenclature for the mpc7445, 360 cbga package notes: 1. dimensioning and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array. 0.2 c a 360x d 2x a1 corner e e 0.2 2x c b 12345678910111213141516 a b c d e f g h j k l m n p r t b 0.3 a 0.15 b a 0.15 a 171819 u w v millimeters dim min max a 2.72 3.20 a1 0.80 1.00 a2 1.10 1.30 a3 ? 0.6 b 0.82 0.93 d 25.00 bsc d1 ? 6.15 d2 12.15 12.45 e 1.27 bsc e 25.00 bsc e1 ? 11.1 e2 7.45 ? e3 8.75 9.20 capacitor region 1 d1 e2 e1 a a1 a2 a3 e3 d2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 43 package description 1.8.3 substrate capacitors for the mpc7445, 360 cbga figure 21 shows the connectivity of the substrate capacitor pads for the mpc7445, 360 cbga. all capacitors are 100 nf. figure 21. substrate bypass capacitors for the mpc7445, 360 cbga capacitor pad number -1 -2 c1 ov dd gnd c2 v dd gnd c3 ov dd gnd c4 v dd gnd c5 ov dd gnd c6 v dd gnd 1 c3-1 c3-2 c2-2 c1-2 c1-1 c2-1 a1 corner c4-1 c4-2 c5-2 c6-2 c6-1 c5-1 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
44 mpc7455 risc microprocessor hardware specifications motorola package description 1.8.4 package parameters for the mpc7455, 483 cbga the package parameters are as provided in the following list. the package type is 29 29 mm, 483-lead ceramic ball grid array (cbga). package outline 29 29 mm interconnects 483 (22 22 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height ? maximum module height 3.22 mm ball diameter 0.89 mm (35 mil) 1.8.5 mechanical dimensions for the mpc7455, 483 cbga figure 21 provides the mechanical dimensions and bottom surface nomenclature for the mpc7455, 483 cbga package. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 45 package description figure 22. mechanical dimensions and bottom surface nomenclature for the mpc7455, 483 cbga package 0.2 2x notes: 1. dimensioning and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with variou s shapes. bottom side. a1 corne r is designated with a ball missing from the array. d a1 corner e e 0.2 2x c b 12345678910111213141516 a b c d e f g h j k l m n p r t a a1 a2 a 0.15 a 17 18 19 u w v millimeters dim min max a 2.72 3.20 a1 0.80 1.00 a2 1.10 1.30 a3 -- 0.60 b 0.82 0.93 d 29.00 bsc d1 ? 11.6 d2 8.94 ? d3 ? 7.1 d4 12.15 12.45 e 1.27 bsc e 29.00 bsc e1 ? 11.6 e2 8.94 ? e3 ? 6.9 e4 8.75 9.20 c a 483x b 0.3 a 0.15 b 20 21 22 y aa ab capacitor region 1 d1 d3 e1 e3 d2 e2 a3 d4 e4 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
46 mpc7455 risc microprocessor hardware specifications motorola package description 1.8.6 substrate capacitors for the mpc7455, 483 cbga figure 23 shows the connectivity of the substrate capacitor pads for the mpc7455, 483 cbga. all capacitors are 100 nf. figure 23. substrate bypass capacitors for the mpc7455, 483 cbga capacitor pad number -1 -2 c1 ov dd gnd c2 v dd gnd c3 ov dd gnd c4 ov dd gnd c5 v dd gnd c6 ov dd gnd c7 av dd gnd c8 ov dd gnd c9 gv dd gnd c10 gv dd gnd c11 v dd gnd c12 gv dd gnd 1 c3-1 c3-2 c2-2 c1-2 c1-1 c2-1 a1 corner c7-2 c7-1 c8-1 c9-1 c9-2 c8-2 c12-1 c12-2 c11-2 c10-2 c10-1 c11-1 c4-2 c4-1 c5-1 c6-1 c6-2 c5-2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 47 system design information 1.9 system design information this section provides system and thermal design recommendations for successful application of the mpc7455. 1.9.1 pll configuration the mpc7455 pll is configured by the pll_cfg[0:4] signals. for a given sysclk (bus) frequency, the pll configuration signals set the internal cpu and vco frequency of operation. the pll configuration for the mpc7455 is shown in table 17 for a set of example frequencies. in this example, shaded cells represent settings that, for a given sysclk frequency, result in core and/or vco frequencies that do not comply with the 1-ghz column in table 8. note that these configurations were different in devices prior to rev f; see section 1.11.2, ?part numbers not fully addressed by this document,? for more information regarding documentation of prior revisions. table 17. mpc7455 microprocessor pll configuration example for 1.0 ghz parts pll_ cfg[0:4] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus (sysclk) frequency 33.3 mhz 50 mhz 66.6 mhz 75 mhz 83 mhz 100 mhz 133 mhz 01000 2x 2x 10000 3x 2x 10100 4x 2x 532 (1064) 10110 5x 2x 500 (1000) 667 (1333) 10010 5.5x 2x 550 (1100) 733 (1466) 11010 6x 2x 600 (1200) 800 (1600) 01010 6.5x 2x 540 (1080) 650 (1300) 866 (1730) 00100 7x 2x 525 (1050) 580 (1160) 700 (1400) 931 (1862) 00010 7.5x 2x 500 (1000) 563 (1125) 623 (1245) 750 (1500) 1000 (2000) 11000 8x 2x 533 (1066) 600 (1200) 664 (1328) 800 (1600) 01100 8.5x 2x 566 (1132) 638 (1276) 706 (1412) 850 (1700) 01111 9x 2x 600 (1200) 675 (1350) 747 (1494) 900 (1800) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
48 mpc7455 risc microprocessor hardware specifications motorola system design information 01110 9.5x 2x 633 (1266) 712 (1524) 789 (1578) 950 (1900) 10101 10x 2x 500 (1000) 667 (1333) 750 (1500) 830 (1660) 1000 (2000) 10001 10.5x 2x 525 (1050) 700 (1400) 938 (1876) 872 (1744) 10011 11x 2x 550 (1100) 733 (1466) 825 (1650) 913 (1826) 00000 11.5x 2x 575 (1150) 766 (532) 863 (1726) 955 (1910) 10111 12x 2x 600 (1200) 800 (1600) 900 (1800) 996 (1992) 11111 12.5x 2x 600 (1200) 833 (1666) 938 (1876) 01011 13x 2x 650 (1300) 865 (1730) 975 (1950) 11100 13.5x 2x 675 (1350) 900 (1800) 11001 14x 2x 700 (1400) 933 (1866) 00011 15x 2x 500 (1000) 750 (1500) 1000 (2000) 11011 16x 2x 533 (1066) 800 (1600) 00001 17x 2x 566 (1132) 850 (1900) 00101 18x 2x 600 (1200) 900 (1800) 00111 20x 2x 667 (1334) 1000 (2000) 01001 21x 2x 700 (1400) 01101 24x 2x 800 (1600) 11101 28x 2x 933 (1866) 00110 pll bypass pll off, sysclk clocks core circuitry directly table 17. mpc7455 microprocessor pll configuration example for 1.0 ghz parts (continued) pll_ cfg[0:4] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus (sysclk) frequency 33.3 mhz 50 mhz 66.6 mhz 75 mhz 83 mhz 100 mhz 133 mhz f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 49 system design information the mpc7455 generates the clock for the external l3 synchronous data srams by dividing the core clock frequency of the mpc7455. the core-to-l3 frequency divisor for the l3 pll is selected through the l3_clk bits of the l3cr register. generally, the divisor must be chosen according to the frequency supported by the external rams, the frequency of the mpc7455 core, and timing analysis of the circuit board routing. table 18 shows various example l3 clock frequencies that can be obtained for a given set of core frequencies. 11110 pll off pll off, no core clocking occurs notes: 1. pll_cfg[0:4] settings not listed are reserved. 2. the sample bus-to-core frequencies shown are for reference only. some pll configurations may select bus, core, or vco frequencies which are not useful, not supported, or not tested for by the mpc7455; see section 1.5.2.1, ?clock ac specifications,? for valid sysclk, core, and vco frequencies. 3. in pll-bypass mode, the sysclk input signal clocks the internal processor directly and the pll is disabled. however, the bus interface unit requires a 2x clock to function. therefore, an additional signal, ext_qual, must be driven at one-half the frequency of sysclk and offset in phase to meet the required input setup t ivkh and hold time t ixkh (see table 9). the result will be that the processor bus frequency will be one-half sysclk while the internal processor is clocked at sysclk frequency. this mode is intended for factory use and emulator tool use only. note : the ac timing specifications given in this document do not apply in pll-bypass mode. 4. in pll-off mode, no clocking occurs inside the mpc7455 regardless of the sysclk input. table 18. sample core-to-l3 frequencies core frequency (mhz) 2 2.5 3 3.5 4 5 6 500 250 200 167 143 125 100 83 533 266 213 178 152 133 107 89 550 275 220 183 157 138 110 92 600 300 240 200 171 150 120 100 650 2 325 260 217 186 163 130 108 666 2 333 266 222 190 167 133 111 700 2 350 280 233 200 175 140 117 733 2 367 293 244 209 183 147 122 800 2 400 320 266 230 200 160 133 867 2 433 347 289 248 217 173 145 933 2 467 373 311 266 233 187 156 table 17. mpc7455 microprocessor pll configuration example for 1.0 ghz parts (continued) pll_ cfg[0:4] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus (sysclk) frequency 33.3 mhz 50 mhz 66.6 mhz 75 mhz 83 mhz 100 mhz 133 mhz f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
50 mpc7455 risc microprocessor hardware specifications motorola system design information 1.9.2 pll power supply filtering the av dd power signal is provided on the mpc7455 to provide power to the clock generation pll. to ensure stability of the internal clock, the power supplied to the av dd input signal should be filtered of any noise in the 500 khz to 10 mhz resonant frequency range of the pll. a circuit similar to the one shown in figure 22 using surface mount capacitors with minimum effective series inductance (esl) is recommended. the circuit should be placed as close as possible to the av dd pin to minimize noise coupled from nearby circuits. it is often possible to route directly from the capacitors to the av dd pin, which is on the periphery of the 360 cbga footprint and very close to the periphery of the 483 cbga footprint, without the inductance of vias. figure 24. pll power supply filter circuit 1.9.3 decoupling recommendations due to the mpc7455 dynamic power management feature, large address and data buses, and high operating frequencies, the mpc7455 can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. this noise must be prevented from reaching other components in the mpc7455 system, and the mpc7455 itself requires a clean, tightly regulated source of power. therefore, it is recommended that the system designer place at least one decoupling capacitor at each v dd , ov dd , and gv dd pin of the mpc7455. it is also recommended that these decoupling capacitors receive their power from separate v dd , ov dd /gv dd , and gnd power planes in the pcb, utilizing short traces to minimize inductance. these capacitors should have a value of 0.01 or 0.1 f. only ceramic surface mount technology (smt) capacitors should be used to minimize lead inductance, preferably 0508 or 0603 orientations where connections are made along the length of the part. consistent with the recommendations of dr. howard johnson in high speed digital design: a handbook of black magic (prentice hall, 1993) and contrary to previous recommendations for decoupling motorola microprocessors, multiple small capacitors of equal value are recommended over using multiple values of capacitance. 1000 2 500 400 333 285 250 200 166 notes: 1. the core and l3 frequencies are for reference only. note that maximum l3 frequency is design dependent. some examples may represent core or l3 frequencies which are not useful, not supported, or not tested for the mpc7455; see section 1.5.2.3, ?l3 clock ac specifications,? for valid l3_clk frequencies and for more information regarding the maximum l3 frequency. shaded cells do not comply with table 10. 2. these core frequencies are not supported by all speed grades; see table 8. table 18. sample core-to-l3 frequencies (continued) core frequency (mhz) 2 2.5 3 3.5 4 5 6 v dd av dd 10 ? 2.2 f 2.2 f gnd low esl surface mount capacitor s f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 51 system design information in addition, it is recommended that there be several bulk storage capacitors distributed around the pcb, feeding the v dd , gv dd , and ov dd planes, to enable quick recharging of the smaller chip capacitors. these bulk capacitors should have a low equivalent series resistance (esr) rating to ensure the quick response time necessary. they should also be connected to the power and ground planes through two vias to minimize inductance. suggested bulk capacitors: 100?330 f (avx tps tantalum or sanyo oscon). 1.9.4 connection recommendations to ensure reliable operation, it is highly recommended to connect unused inputs to an appropriate signal level. unused active low inputs should be tied to ov dd . unused active high inputs should be connected to gnd. all nc (no-connect) signals must remain unconnected. power and ground connections must be made to all external v dd , ov dd , gv dd , and gnd pins in the mpc7455. if the l3 interface is not used, gv dd should be connected to the ov dd power plane, and l3vsel should be connected to bvsel. 1.9.5 output buffer dc impedance the mpc7455 processor bus and l3 i/o drivers are characterized over process, voltage, and temperature. to measure z 0 , an external resistor is connected from the chip pad to ov dd or gnd. then, the value of each resistor is varied until the pad voltage is ov dd /2 (see figure 23). the output impedance is the average of two components, the resistances of the pull-up and pull-down devices. when data is held low, sw2 is closed (sw1 is open), and r n is trimmed until the voltage at the pad equals ov dd /2. r n then becomes the resistance of the pull-down devices. when data is held high, sw1 is closed (sw2 is open), and r p is trimmed until the voltage at the pad equals ov dd /2. r p then becomes the resistance of the pull-up devices. r p and r n are designed to be close to each other in value. then, z 0 = (r p + r n )/2. figure 25. driver impedance measurement table 19 summarizes the signal impedance results. the impedance increases with junction temperature and is relatively unaffected by bus voltage. ov dd ognd r p r n pad data sw 1 sw 2 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
52 mpc7455 risc microprocessor hardware specifications motorola system design information 1.9.6 pull-up/pull-down resistor requirements the mpc7455 requires high-resistive (weak: 4.7-k ? ) pull-up resistors on several control pins of the bus interface to maintain the control signals in the negated state after they have been actively negated and released by the mpc7455 or other bus masters. these pins are: ts , artry , shdo , and shd1 . some pins designated as being for factory test must be pulled up to ov dd or down to gnd to ensure proper device operation. for the mpc7445, 360 bga, the pins that must be pulled up to ov dd are: lssd_mode and test[0:3]; the pins that must be pulled down to gnd are: l1_tstclk and test[4]. for the mpc7455, 483 bga, the pins that must be pulled up to ov dd are: lssd_mode and test[0:5]; the pins that must be pulled down are: l1_tstclk and test[6]. the ckstp_in signal should likewise, be pulled up through a pull-up resistor (weak or stronger: 4.7?1 k ? ) to prevent erroneous assertions of this signal in addition, the mpc7455 has one open-drain style output that requires a pull-up resistor (weak or stronger: 4.7?1 k ? ) if it is used by the system. this pin is ckstp_out . if pull-down resistors are used to configure bvsel or l3vsel, the resistors should be less than 250 ? (see table 16). because pll_cfg[0:4] must remain stable during normal operation, strong pull-up and pull-down resistors (1 k ? or less) are recommended to configure these signals in order to protect against erroneous switching due to ground bounce, power supply noise or noise coupling. during inactive periods on the bus, the address and transfer attributes may not be driven by any master and may, therefore, float in the high-impedance state for relatively long periods of time. because the mpc7455 must continually monitor these signals for snooping, this float condition may cause excessive power draw by the input receivers on the mpc7455 or by other receivers in the system. these signals can be pulled up through weak (10-k ? ) pull-up resistors by the system, address bus driven mode enabled (see the mpc7450 risc microporcessor family users? manual for more information on this mode), or they may be otherwise driven by the system during inactive periods of the bus to avoid this additional power draw. preliminary studies have shown the additional power draw by the mpc7455 input receivers to be negligible and, in any event, none of these measures are necessary for proper device operation. the snooped address and transfer attribute inputs are: a[0:35], ap[0:4], tt[0:4], ci , wt , and gbl . if extended addressing is not used, a[0:3] are unused and must be pulled low to gnd through weak pull-down resistors. if the mpc7455 is in 60x bus mode, dti[0:3] must be pulled low to gnd through weak pull-down resistors. the data bus input receivers are normally turned off when no read operation is in progress and, therefore, do not require pull-up resistors on the bus. other data bus receivers in the system, however, may require pull-ups, or that those signals be otherwise driven by the system during inactive periods by the system. the data bus signals are: d[0:63] and dp[0:7]. if address or data parity is not used by the system, and the respective parity checking is disabled through hid0, the input receivers for those pins are disabled, and those pins do not require pull-up resistors and should be left unconnected by the system. if all parity generation is disabled through hid0, then all parity checking should also be disabled through hid0, and all parity pins may be left unconnected by the system. table 19. impedance characteristics v dd = 1.5 v, ov dd = 1.8 v 5%, t j = 5?85c impedance processor bus l3 bus unit z 0 typical 33?42 34?42 ? maximum 31?51 32?44 ? f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 53 system design information the l3 interface does not normally require pull-up resistors. 1.9.7 jtag configuration signals boundary-scan testing is enabled through the jtag interface signals. the trst signal is optional in the ieee 1149.1 specification, but is provided on all processors that implement the powerpc architecture. while it is possible to force the tap controller to the reset state using only the tck and tms signals, more reliable power-on reset performance will be obtained if the trst signal is asserted during power-on reset. because the jtag interface is also used for accessing the common on-chip processor (cop) function, simply tying trst to hreset is not practical. the cop function of these processors allows a remote computer system (typically, a pc with dedicated hardware and debugging software) to access and control the internal operations of the processor. the cop interface connects primarily through the jtag port of the processor, with some additional status monitoring signals. the cop port requires the ability to independently assert hreset or trst in order to fully control the processor. if the target system has independent reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, then the cop reset signals must be merged into these signals with logic. the arrangement shown in figure 24 allows the cop port to independently assert hreset or trst , while ensuring that the target can drive hreset as well. if the jtag interface and cop header will not be used, trst should be tied to hreset through a 0- ? isolation resistor so that it is asserted when the system reset signal (hreset ) is asserted, ensuring that the jtag scan chain is initialized during power-on. while motorola recommends that the cop header be designed into the system as shown in figure 24, if this is not possible, the isolation resistor will allow future access to trst in the case where a jtag interface may need to be wired onto the system in debug situations. the cop header shown in figure 24 adds many benefits?breakpoints, watchpoints, register and memory examination/modification, and other standard debugger features are possible through this interface?and can be as inexpensive as an unpopulated footprint for a header to be added when needed. the cop interface has a standard header for connection to the target system, based on the 0.025" square-post, 0.100" centered header assembly (often called a berg header). the connector typically has pin 14 removed as a connector key. there is no standardized way to number the cop header shown in figure 24; consequently, many different pin numbers have been observed from emulator vendors. some are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to-bottom, while still others number the pins counter clockwise from pin 1 (as with an ic). regardless of the numbering, the signal placement recommended in figure 24 is common to all known emulators. the qack signal shown in figure 24 is usually connected to the pci bridge chip in a system and is an input to the mpc7455 informing it that it can go into the quiescent state. under normal operation this occurs during a low-power mode selection. in order for cop to work, the mpc7455 must see this signal asserted (pulled down). while shown on the cop header, not all emulator products drive this signal. if the product does not, a pull-down resistor can be populated to assert this signal. additionally, some emulator products implement open-drain type outputs and can only drive qack asserted; for these tools, a pull-up resistor can be implemented to ensure this signal is de-asserted when it is not being driven by the tool. note that the pull-up and pull-down resistors on the qack signal are mutually exclusive and it is never necessary to populate both in a system. to preserve correct power-down operation, qack should be merged via logic so that it also can be driven by the pci bridge. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
54 mpc7455 risc microprocessor hardware specifications motorola system design information figure 26. jtag interface connection hreset hreset from target board sources hreset 13 sreset sreset sreset nc nc 11 vdd_sense 6 5 1 15 2 k ? 10 k ? 10 k ? 10 k ? ov dd ov dd ov dd ov dd chkstp_in chkstp_in 8 tms tdo tdi tck tms tdo tdi tck 9 1 3 4 trst 7 16 2 10 12 (if any) cop header 14 2 key qack ov dd ov dd 10 k ? ov dd trst 10 k ? ov dd 10 k ? 10 k ? qack qack chkstp_out chkstp_out 3 13 9 5 1 6 10 2 15 11 7 16 12 8 4 key no pin cop connector physical pin out 10 k ? 4 ov dd 1 2 k ? 3 0 ? 5 notes: 1. run/stop , normally found on pin 5 of the cop header, is not implemented on the mpc7455. c on pin 5 of the cop header to ov dd with a 10-k ? pull-up resistor. 2. key location; pin 14 is not physically present on the cop header. 3. component not populated. populate only if debug tool does not drive qack . 4. populate only if debug tool uses an open-drain type output and does not actively de-assert qac k 5. if the jtag interface is implemented, connect hreset from the target source to trst from th e c header though an and gate to trst of the part. if the jtag interface is not implemented, c on hreset from the target source to trst of the part through a 0- ? isolation reisistor. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 55 system design information 1.9.8 thermal management information this section provides thermal management information for the ceramic ball grid array (cbga) package for air-cooled applications. proper thermal control design is primarily dependent on the system-level design?the heat sink, airflow, and thermal interface material. to reduce the die-junction temperature, heat sinks may be attached to the package by several methods?spring clip to holes in the printed-circuit board or package, and mounting clip and screw assembly (see figure 25); however, due to the potential large mass of the heat sink, attachment through the printed-circuit board is suggested. if a spring clip is used, the spring force should not exceed 10 pounds. figure 27. package exploded cross-sectional view with several heat sink options the board designer can choose between several types of heat sinks to place on the mpc7455. there are several commercially available heat sinks for the mpc7455 provided by the following vendors: aavid thermalloy 603-224-9988 80 commercial st. concord, nh 03301 internet: www.aavidthermalloy.com alpha novatech 408-749-7601 473 sapena ct. #15 santa clara, ca 95054 internet: www.alphanovatech.com international electronic research corporation (ierc) 818-842-7277 413 north moss st. burbank, ca 91502 internet: www.ctscorp.com tyco electronics 800-522-6752 chip coolers? p.o. box 3668 harrisburg, pa 17105-3668 internet: www.chipcoolers.com thermal interface material heat sink cbga package heat sink clip printed-circuit board f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
56 mpc7455 risc microprocessor hardware specifications motorola system design information wakefield engineering 603-635-5102 33 bridge st. pelham, nh 03076 internet: www.wakefield.com ultimately, the final selection of an appropriate heat sink depends on many factors, such as thermal performance at a given air velocity, spatial volume, mass, attachment method, assembly, and cost. 1.9.8.1 internal package conduction resistance for the exposed-die packaging technology, shown in table 3, the intrinsic conduction thermal resistance paths are as follows:  the die junction-to-case (actually top-of-die since silicon die is exposed) thermal resistance  the die junction-to-ball thermal resistance figure 26 depicts the primary heat transfer path for a package with an attached heat sink mounted to a printed-circuit board. figure 28. c4 package with heat sink mounted to a printed-circuit board heat generated on the active side of the chip is conducted through the silicon, then through the heat sink attach material (or thermal interface material), and finally to the heat sink where it is removed by forced-air convection. because the silicon thermal resistance is quite small, for a first-order analysis, the temperature drop in the silicon may be neglected. thus, the thermal interface material and the heat sink conduction/convective thermal resistances are the dominant terms. 1.9.8.2 thermal interface materials a thermal interface material is recommended at the package lid-to-heat sink interface to minimize the thermal contact resistance. for those applications where the heat sink is attached by spring clip mechanism, figure 27 shows the thermal performance of three thin-sheet thermal-interface materials (silicone, graphite/oil, floroether oil), a bare joint, and a joint with thermal grease as a function of contact pressure. as shown, the performance of these thermal interface materials improves with increasing contact pressure. external resistance external resistance internal resistance radiation convection radiation convection heat sink printed-circuit board thermal interface material package/leads die junction die/package (note the internal versus external package resistance.) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 57 system design information the use of thermal grease significantly reduces the interface thermal resistance. that is, the bare joint results in a thermal resistance approximately seven times greater than the thermal grease joint. often, heat sinks are attached to the package by means of a spring clip to holes in the printed-circuit board (see figure 25). therefore, the synthetic grease offers the best thermal performance, considering the low interface pressure and is recommended due to the high power dissipation of the mpc7455. of course, the selection of any thermal interface material depends on many factors?thermal performance requirements, manufacturability, service temperature, dielectric properties, cost, etc. figure 29. thermal performance of select thermal interface material the board designer can choose between several types of thermal interface. heat sink adhesive materials should be selected based on high conductivity, yet adequate mechanical strength to meet equipment shock/vibration requirements. there are several commercially available thermal interfaces and adhesive materials provided by the following vendors: the bergquist company 800-347-4572 18930 west 78 th st. chanhassen, mn 55317 internet: www.bergquistcompany.com chomerics, inc. 781-935-4850 77 dragon ct. woburn, ma 01888-4014 internet: www.chomerics.com 0 0.5 1 1.5 2 0 1020304050607080 silicone sheet (0.006 in.) bare joint floroether oil sheet (0.007 in.) graphite/oil sheet (0.005 in.) synthetic grease contact pressure (psi) specific thermal resistance (k-in. 2 /w) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
58 mpc7455 risc microprocessor hardware specifications motorola system design information dow-corning corporation 800-248-2481 dow-corning electronic materials 2200 w. salzburg rd. midland, mi 48686-0997 internet: www.dow.com shin-etsu microsi, inc. 888-642-7674 10028 s. 51st st. phoenix, az 85044 internet: www.microsi.com thermagon inc. 888-246-9050 4707 detroit ave. cleveland, oh 44102 internet: www.thermagon.com the following section provides a heat sink selection example using one of the commercially available heat sinks. 1.9.8.3 heat sink selection example for preliminary heat sink sizing, the die-junction temperature can be expressed as follows: t j = t a + t r + (r jc + r int + r sa ) p d where: t j is the die-junction temperature t a is the inlet cabinet ambient temperature t r is the air temperature rise within the computer cabinet r jc is the junction-to-case thermal resistance r int is the adhesive or interface material thermal resistance r sa is the heat sink base-to-ambient thermal resistance p d is the power dissipated by the device during operation, the die-junction temperatures (t j ) should be maintained less than the value specified in table 4. the temperature of air cooling the component greatly depends on the ambient inlet air temperature and the air temperature rise within the electronic cabinet. an electronic cabinet inlet-air temperature (t a ) may range from 30 to 40c. the air temperature rise within a cabinet (t r ) may be in the range of 5 to 10c. the thermal resistance of the thermal interface material (r int ) is typically about 1.5c/w. for example, assuming a t a of 30c, a t r of 5c, a cbga package r jc = 0.1, and a typical power consumption (p d ) of 15.0 w, the following expression for t j is obtained: die-junction temperature: t j = 30c + 5c + (0.1c/w + 1.5c/w + r sa ) 15 w for this example, a r sa value of 3.1c/w or less is required to maintain the die-junction temperature below the maximum value of table 4. though the die junction-to-ambient and the heat sink-to-ambient thermal resistances are a common figure-of-merit used for comparing the thermal performance of various microelectronic packaging technologies, one should exercise caution when only using this metric in determining thermal management because no single parameter can adequately describe three-dimensional heat flow. the final die-junction operating temperature is not only a function of the component-level thermal resistance, but the system-level design and its operating conditions. in addition to the component's power consumption, a number of factors f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 59 system design information affect the final operating die-junction temperature?airflow, board population (local heat flux of adjacent components), heat sink efficiency, heat sink attach, heat sink placement, next-level interconnect technology, system air temperature rise, altitude, etc. due to the complexity and the many variations of system-level boundary conditions for today's microelectronic equipment, the combined effects of the heat transfer mechanisms (radiation, convection, and conduction) may vary widely. for these reasons, we recommend using conjugate heat transfer models for the board, as well as system-level designs. for system thermal modeling, the mpc7445 and mpc7455 thermal model is shown in figure 28. four volumes will be used to represent this device. two of the volumes, solder ball, and air and substrate, are modeled using the package outline size of the package. the other two, die, and bump and underfill, have the same size as the die. dimensions for these volumes for the mpc7445 and mpc7455 are given in figure 20 and figure 21, respectively. the silicon die should be modeled 9.10 12.25 0.74 mm with the heat source applied as a uniform source at the bottom of the volume. the bump and underfill layer is modeled as 9.10 12.25 0.069 mm (or as a collapsed volume) with orthotropic material properties: 0.6 w/(m  k) in the xy-plane and 2 w/(m  k) in the direction of the z-axis. the substrate volume is 25 25 1.2 mm (mpc7445) or 29 29 1.2 mm (mpc7455), and this volume has 18 w/(m  k) isotropic conductivity. the solder ball and air layer is modeled with the same horizontal dimensions as the substrate and is 0.9 mm thick. it can also be modeled as a collapsed volume using orthotropic material properties: 0.034 w/(m  k) in the xy-plane direction and 3.8 w/(m  k) in the direction of the z-axis. figure 30. recommended thermal model of mpc7445 and mpc7455 bump and underfill die substrate solder and air die substrate side view of model (not to scale) top view of model (not to scale) x y z conductivity value unit bump and underfill k x 0.6 w/(m  k) k y 0.6 k z 2 substrate k18 solder ball and air k x 0.034 k y 0.034 k z 3.8 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
60 mpc7455 risc microprocessor hardware specifications motorola document revision history 1.10 document revision history table 20 provides a revision history for this hardware specification. table 20. document revision history rev. no. substantive change(s) 0 initial release. 1 updated for rev f devices; information specific to rev c devices is now documented in a separate part number specifications; see section 1.11.2 for more information. removed 600 and 800 mhz speed grades. increased leakage current specifications in table 6 from 10 to 30 a. changed core voltage to 1.3 v; all instances of v dd and av dd updated. updated power consumption specifications in table 7. reduced i/o power guidance in table 7 from <20% to <5%. added footnote 1 to figures 9 and 11. removed ci and wt from input setup and input hold lists in table 10; these are output-only signals. removed int , hreset , mcp , sreset , and smi from input setup and input hold lists in table 10; these are asynchronous inputs. added tt[0:3] to input setup, input hold, output valid, and output hold lists in table 10; these were mistakenly omitted in rev 0. updated tables 13 and 14 to reflect new l3 ac timing in rev f devices. corrected note 10 in tables 16 and 17; this is an event pin, not an enable pin. corrected entries for l3_echo_clk[1,3] in table 17; these are i/o pins, not input-only. added note 16 to table 17; all no connect pins must be left unconnected. changed name of pll_ext to pll_cfg[4] and updated all instances. updated table 18 to reflect pll configuration settings for rev f devices. added dimensions d2 and e3 to figure 20. transposed dimensions d4 and e4 in figure 21 (dimensions were reversed). revised figure 24 and section 1.9.7. revised format of section 1.11.2 and added tables 23 through 26. revised section 1.9.8.3 and added additional thermal modeling information, including figure 28. changed maximum heat sink clip spring force in section 1.9.8, from 5.5 lbs to 10 lbs. changed substrate marking for mpc7445 in figure 29; all mpc744x device substrates are marked mpc7440. changed substrate marking for mpc7455 in figure 29; all mpc745x device substrates are marked mpc7450. 1.1 removed reference to note 4 for dti signals in tables 15 and 16: these signals are unused in 60x bus mode and must be pulled down (see note 13); they are not ignored. improved precision of die and package dimensions in figures 20 and 21. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 61 document revision history 2 corrected entries in table 17 for 33 mhz and 50 mhz bus frequencies with multipliers of 24x and higher. corrected typographical errors in heatsink selection example in section 1.9.8.3. removed erroneous instances of pll_ext signal name and changed remaining instances of pll_cfg[0:3] to pll_cfg[0:4]. (these were artifacts from older revisions; see entry for rev 1.0.) corrected erroneous instances (artifacts) mentioning 1.6 v core voltage. core voltage for devices completely covered by this revision (and revisions 1. x) of this document is 1.3 v. corrected errors in pll multipliers in table 17: 32x and 25x are not supported ratios, 3x and 4x are supported, 10.5x and 12.5x pll settings were incorrect. replaced notes at bottom of table 17 (erroneously missing in revisions 1. x ). updated coplanarity specifications in figures 20 and 21 from 0.2 mm to 0.15 mm. 3 added revision g (rev 3.4) devices to specifications. added new powerpc trademarking information. 4 added substrate capacitor information in sections 1.8.3 and 1.8.6. clarified maximum and typical l3 clock frequency in section 1.5.2.3.; typical l3 frequency now stated as 250 mhz based on changes to l3 ac timing. significantly changed l3 ac timing in tables 12 and 13. these changes reflect both updates based on latest characterization and error corrections (effects of non-zero l3oh values were incorrectly documented in earlier revisions of this document). clarified address bus pull-up resistor recommendations in section 1.9.6. added pull-up/pull-down recommendations for ckstp_in and pll_cfg[0:4] to section 1.9.6. modified table 9, figure 5, and figure 6 to more accurately show when the mode select inputs (bmode [0:1], l3vsel, bvsel) are sampled and ac timing requirements. figures 20 and 22: updated/corrected dimensions in mechanical drawings. table 20. document revision history (continued) rev. no. substantive change(s) f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
62 mpc7455 risc microprocessor hardware specifications motorola ordering information 1.11 ordering information ordering information for the parts fully covered by this specification document is provided in section 1.11.1, ?part numbers fully addressed by this document.? note that the individual part numbers correspond to a maximum processor core frequency. for available frequencies, contact your local motorola sales office. in addition to the processor frequency, the part numbering scheme also includes an application modifier which may specify special application conditions. each part number also contains a revision level code which refers to the die mask revision number. section 1.11.2, ?part numbers not fully addressed by this document,? lists the part numbers which do not fully conform to the specifications of this document. these special part numbers require an additional document called a part number specification. 1.11.1 part numbers fully addressed by this document table 21 provides the motorola part numbering nomenclature for the mpc7455. 1.11.2 part numbers not fully addressed by this document parts with application modifiers or revision levels not fully addressed in this specification document are described in separate part number specifications which supplement and supersede this document; see table 22 through table 25. table 21. part numbering nomenclature xx 74 x 5 x rx nnnn x x product code part identifier process descriptor package processor frequency 1 application modifier revision level xc 2 7455 7445 a rx = cbga 733 867 933 1000 l: 1.3 v 50 mv 0 to 105 c f: 3.3; pvr = 8001 0303 mc g: 3.4; pvr = 8001 0304 notes: 1. processor core frequencies supported by parts addressed by this specification only. parts addressed by part number specifications may support other maximum core frequencies. 2. the x prefix in a motorola part number designates a ?pilot production prototype? as defined by motorola sop 3-13. these are from a limited production volume of pr ototypes manufactured, tested, and q.a. inspected on a qualified technology to simulate normal production. these parts have only preliminary reliability and characterization data. before pilot production prototypes may be shipped, written authorization from the customer must be on file in the applicable sales office acknowledging the qualification status and the fact that product changes may still occur while shipping pilot production prototypes. f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 63 ordering information table 22. part numbers addressed by xpc74 x 5rx nnn lc series part number specification (document order no. mpc7455rxlcpns) xpc 74 x 5rx nnn lc product code part identifier package processor frequency application modifier revision level xpc 7455 7445 rx = cbga 600 733 800 867 933 l: 1.6 v 50 mv 0 to 105 c c: 2.1; pvr = 8001 0201 ppc 1000 table 23. part numbers addressed by xpc74 x 5rx nnn n x series part number specification (document order no. mpc7455rxnxpns) xpc 74 x 5rx nnn nc product code part identifier package processor frequency application modifier revision level xpc 7455 7445 rx = cbga 600 733 800 n: 1.3 v 50 mv 0 to 105 c c: 2.1; pvr = 8001 0201 table 24. part numbers addressed by xpc74 x 5rx nnn p x series part number specification (document order no. mpc7455rxpxpns) xpc 7455 rx nnn pc product code part identifier package processor frequency application modifier revision level xpc 7455 rx = cbga 933 1000 p: 1.85 v 50 mv 0 to 65 c c: 2.1; pvr = 8001 0201 table 25. part numbers addressed by xpc74 x 5rx nnn s x series part number specification (document order no. mpc7455rxsxpns) xpc 7455 rx nnnn sc product code part identifier package processor frequency application modifier revision level xpc 7455 rx = cbga 1000 s: 1.85 v 50 mv 0 to 75 c c: 2.1; pvr = 8001 0201 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
64 mpc7455 risc microprocessor hardware specifications motorola ordering information 1.11.3 part marking parts are marked as the example shown in figure 29. figure 31. part marking for bga device bga notes : ccccc is the country of assembly. this space is left blank if parts are assembled in the united states . mmmmmm is the 6-digit mask number. atwlyywwa is the traceability code. mc7455a rx1000lg mmmmmm atwlyywwa 7450 bga mc7445a rx1000lg mmmmmm atwlyywwa 7440 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 65 ordering information this page intentionally left blank f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
66 mpc7455 risc microprocessor hardware specifications motorola ordering information this page intentionally left blank f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
motorola mpc7455 risc microprocessor hardware specifications 67 ordering information this page intentionally left blank f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .
mpc7455ec how to reach us: usa/europe/locations not listed: motorola literature distribution p.o. box 5405, denver, colorado 80217 1-303-675-2140 or (800) 441-2447 japan: motorola japan ltd. sps, technical information center 3-20-1, minami-azabu minato-ku tokyo 106-8573 japan 81-3-3440-3569 asia/pacific: motorola semiconductors h.k. ltd. silicon harbour centre, 2 dai king street tai po industrial estate, tai po, n.t., hong kong 852-26668334 technical information center: (800) 521-6274 home page: www.motorola.com/semiconductors information in this document is provided solely to enable system and software implementers to use motorola products. there are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. motorola reserves the right to make changes without further notice to any products herein. motorola makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does motorola assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. ?typical? parameters which may be provided in motorola data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. all operating parameters, including ?typicals? must be validated for each customer application by customer?s technical experts. motorola does not convey any license under its patent rights nor the rights of others. motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the motorola product could create a situation where personal injury or death may occur. should buyer purchase or use motorola products for any such unintended or unauthorized application, buyer shall indemnify and hold motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that motorola was negligent regarding the design or manufacture of the part. motorola and the stylized m logo are registered in the u.s. patent and trademark office. digital dna is a trademark of motorola, inc. the described product is a powerpc microprocessor. the powerpc name is a trademark of ibm corp. and used under license. all other product or service names are the property of their resp ective owners. motorola, inc. is an equal opportunity/affirmative action employer. ? motorola, inc. 2003 f r e e s c a l e s e m i c o n d u c t o r , i freescale semiconductor, inc. f o r m o r e i n f o r m a t i o n o n t h i s p r o d u c t , g o t o : w w w . f r e e s c a l e . c o m n c . . .


▲Up To Search▲   

 
Price & Availability of MC7445ARX1000LF

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X